Grundlagen der Digitaltechnik Groÿe Übung 2: Rechnerübung mit
dem Simulator ghdl
Prof. G. Kemnitz, Dr. C. Giesemann
Institut für Informatik, Technische Universität Clausthal
23. Februar 2021
Vorbereitung
Web-Browser starten
http://techwww.in.tu-clausthal.de/site /Lehre/VHDL-Web-Projekte/
Das zip-Archiv zip_EVHDL.zip in Ihr Arbeitsverzeichnis
kopieren und auspacken.
Aufgabe 2.1: HalloWelt
1:entityHalloWeltis 2:end entity;
3:architectureVerhaltenofHalloWeltis 4:begin
5: process 6: begin
- Meldung ausgeben und Prozess beenden 7: report"Hallo Welt";
8: wait;
9: end process;
10:end architecture;
Fragen:
Auf der Web-Seite nden Sie die Quellen und Hilfetexte unter:
1.14 VHDL ...
. Erstes ... (P1.1/HalloWelt.vhdl) cmd.exe starten. Im Kommandozeilenfenster:
cd P1.1 # Verzeichnis wechseln
ghdl -a HalloWelt.vhdl # analysieren ghdl -m HalloWelt # Zusammenbau ghdl -r HalloWelt # Ausführen Aufgabe:
Die VHDL-Datei HalloWelt.vhdl nach HalloWelt_a.vhdl kopieren.
Ausgabetext ändern, übersetzen und ausführen
Aufgabe 2.2: 5-NAND-Schaltung
(zugehöriges Web-Projekt: Abschn. 1.2.4, Prozess ... 5 Gatter ...) Schaltungsprozess
signal x: std_logic_vector(4 downto 0);
signal y: std_logic_vector(1 downto 0);
Schaltungsprozess:process
variable v: std_logic_vector(2 downto 0);
begin
v(0):= x(0) nand x(1);
v(1):= x(2) nand x(3);
v(2):= x(0) nand x(4);
y(0) <= v(0) nand v(1) after td;
y(1) <= v(1) nand v(2) after td;
wait on x;
Prozess zur Erzeugung der Testeingabe
Eingabe: processbegin
wait for 5 ns; x <= "10010";
wait for 5 ns; x <= "10011";
wait for 5 ns; x <= "00010";
-- ...
wait;
end process;
Aufgaben:
1
Zeichnen Sie den Signalussplan mit Pfeilen für die Verzögerungszeiten.
2
Zeichnen Sie den generierten Ein- und Ausgabesignalverlauf.
3
Simulation und Ergebnisvergleich.
4
Zeichnen und beschreiben einer anderen Gatterschaltung und
eines anderen Eingabesignalverlaufs in einer neuen Datei und
Simulation.
Aufgabe 2.3: Halte- und Verzögerungszeiten
1
Testen Sie das Web-Projekt 1.3.3 Gatterschaltung mit Laufzeittoleranz ....
2
Beschreiben Sie in analoger Weise die nachfolgende Schaltung und den nachfolgenden Signalverlauf und kontrollieren Sie das Ergebnis durch Simulation.
& &
&
&
x0
x1
x2
x3
1001 1011 1111 0111 1111 1101 0101 1101 1001 1111 x
z0
z1
y F¨ur alle Gatterth= 1 ns,td= 2 ns
Wert des niederwertigsten Eingabebits steht rechts (downto)
z2
Eingabe je 4 ns g¨ultig und 2 ns ung¨ultig
Aufgabe 2.4: Hazard
01
0 2 4 6 8 10 tsim 0
1 0
x0 1
x2
x1
z0 4 ns 2 ns
==
&
1,5 ns
& y G2
G4 G3
G1 x0
x1
x2
1 ns z1
z2