• Keine Ergebnisse gefunden

Grundlagen der Digitaltechnik Groÿe Übung 3

N/A
N/A
Protected

Academic year: 2021

Aktie "Grundlagen der Digitaltechnik Groÿe Übung 3"

Copied!
5
0
0

Wird geladen.... (Jetzt Volltext ansehen)

Volltext

(1)

Grundlagen der Digitaltechnik Groÿe Übung 3

Prof. G. Kemnitz, Dr. C. Giesemann

Institut für Informatik, Technische Universität Clausthal

23. Februar 2021

(2)

Aufgabe 3.1: Wertdarstellung mit Bitvektoren

Zeit

Zeit Spannung

Bit 1 Bit 0

Signal−

wert U‘0‘max

U‘1‘min

1

Kennzeichnen Sie die Zeitbereiche, in denen der Signalwert

unbestimmt ist.

(3)

Aufgabe 3.2: Arithmetik mit 'X'

variable a, b, c, y1 , y2: std_logic := 'X ';

...A1: a := a and '0';

A2: c := b or '1';

A3: y1 := (a and b) xor c;

A4: y2 := not(a or b) and c;

Welche Werte werden den Variablen auf der linken Seite zugewiesen?

(4)

Aufgabe 3.3: Nebenläuge Signalzuweisung

0 1 0 1 01

x0 x2

x1

z0 4 ns

=1

& y G2

G4 G3

G1 x0

x1 x2

1 ns

0 2 4 6 8 10

tsim

12

3 ns

1 ns z2

z2

1

VHDL-Beschreibung der Schaltung durch nebenläuge Signalzuweisungen.

2

VHDL Beschreibung der Eingabesignalerzeugung.

3

Bestimmung der Signalverläufe für z

o

, z

1

, z

2

und y.

(5)

Aufgabe 3.4: Strukturbeschreibung

&

&

x1

x2

x3 y

Zeitparameter NAND-Gatter:

th= 1 ns td= 3 ns

1

Entwicken Sie eine Funktionsbeschreibung für das verwendete NAND-Gatter.

2

Entwickeln Sie ein Strukturbeschreibung der Gesamtschaltung.

(Komplett mit Bibliotheken, Packages, Entity, Architecture.

Nebenläuge Signalzuweisungen. Namensbasierte Zuordnung.

Datentyp std_logic).

Referenzen

ÄHNLICHE DOKUMENTE

redundante Zustände und deren abgehende Kanten in den Ablaufgraphen einzeichnen (hängt von den Wertzuordnungen an die Don't-Care-Stellen ab). Schaltung zeichnen; zu

Institut für Informatik, Technische Universität

1 Die erste soll nach dem Master-Slave-Prinzip arbeiten und die Eingabedaten mit der fallenden Taktanke an ihren Ausgang übernehmen. 2 Die zweite soll eine gepulste

Auf welchen Nennwert muss der Widerstandswert R = 5 kΩ gerundet werden, damit er durch einen Festwiderstand der E12-Reihe realisiert werden kann?.. Aufgabe 1.3:

Institut für Informatik, Technische Universität

Bauen Sie einen integrierten Logikanalysator in ihr System ein, der die Anschlusssignale des Debug- Moduls aufzeichnet und untersuchen Sie, wie Prozessor und Debug-Modul bei einem

Der Abtastprozess für die Schalter soll mit dem in Aufgabe 1 bestimmten Takt arbeiten und der Prozess zum Weiterstellen der Leuchtdiodenausgaben mit einer Taktfre- quenz von

Die Kontrolle, dass die im SPI-Slave-Modell zu zusichernden Zeitbedingungen auch für die fertige Schaltung eingehalten werden, erfordert eine Post-Place & Route Simulation..