• Keine Ergebnisse gefunden

Grundlagen der Digitaltechnik Groÿe Übung 6

N/A
N/A
Protected

Academic year: 2021

Aktie "Grundlagen der Digitaltechnik Groÿe Übung 6"

Copied!
4
0
0

Wird geladen.... (Jetzt Volltext ansehen)

Volltext

(1)

Grundlagen der Digitaltechnik Groÿe Übung 6

Prof. G. Kemnitz, Dr. C. Giesemann

Institut für Informatik, Technische Universität Clausthal 23. Februar 2021

Prof. G. Kemnitz, Dr. C. Giesemann·Institut für Informatik, Technische Universität Clausthal23. Februar 20211/4

(2)

Aufgabe 6.1: Registerextraktion

signal K,L,M,N,P,Q: std_logic_vector(7 downto 0);

signal c: std_logic_vector(1 downto 0);

process(c(0)) begin

if rising_edge(c(0)) then L <= K;

end if;

end process;

process(c(1)) begin

if rising_edge(c(1)) then N<=M; M<=L;

end if;

end process;

process(c(1)) begin

if falling_edge(c(1)) then P<=L; Q<=P;

end if;

end process;

Anschlusssignale,

Übernahmebedingungen etc.

aller beschriebenen Register suchen

Signalussplan zeichnen

Prof. G. Kemnitz, Dr. C. Giesemann·Institut für Informatik, Technische Universität Clausthal23. Februar 20212/4

(3)

Aufgabe 6.2: Beschreibung als synthesefähiger kombinatorischer Prozess

0 1

0

1 +

≥1

&

0

1 y

s1 4 4

4 4 4 4

a b s0

4 4

4

4

4 STD LOGIC

UNSIGNED(3downto 0)

Prof. G. Kemnitz, Dr. C. Giesemann·Institut für Informatik, Technische Universität Clausthal23. Februar 20213/4

(4)

Aufgabe 6.3: Beschreibung als synthesefähiger Abtastprozess

signal y: signed(7 downto 0);

signal R, E, I, T: std_logic;

+1

−1 0 1

0

1 x y

I 8

8

8 8 8

R E I T¯

Initialisierungswert alles null

Prof. G. Kemnitz, Dr. C. Giesemann·Institut für Informatik, Technische Universität Clausthal23. Februar 20214/4

Referenzen

ÄHNLICHE DOKUMENTE

Das benötigte Gerät zum Zusammenschalten der Grafiksignale ist nach bisherigem Erkenntnisstand leider nicht im Handel erhältlich. Allerdings gibt es ein durchaus häufig

Giesemann · Institut für Informatik, Technische Universität Clausthal 16... Giesemann · Institut für Informatik, Technische Universität

Giesemann · Institut für Informatik, Technische Universität Clausthal

Wenn ein Fuÿgänger den Knopf drückt, wenn er zwar schon wieder rot hat, die Am- pel jedoch ihren Zyklus noch nicht beendet hat, soll sich die Ampel den Knopfdruck merken und

Ludwig-Maximilians-Universität München Institut für

Logic in Computer Science: Modelling and reasoning about systems. Cambridge University

Diese Gruppe von Studierenden ist aber deshalb auch interessant, weil durchweg ein festes (regelmäßiges und verhältnismäßig hohes) Einkommen (Sold) bezo- gen wird und es

Wenn wir aber die selbe Variable später in der linken Seite einer Bindung (Env) sehen, dann müssen wir einen Knoten für die selben Variablennamen, den wir zuvor als frei