• Keine Ergebnisse gefunden

Grundlagen der Digitaltechnik: Aufgabenblatt 4 (Simulation)

N/A
N/A
Protected

Academic year: 2021

Aktie "Grundlagen der Digitaltechnik: Aufgabenblatt 4 (Simulation)"

Copied!
2
0
0

Wird geladen.... (Jetzt Volltext ansehen)

Volltext

(1)

Prof. G. Kemnitz, TU Clausthal. Grundlagen der Digitaltechnik: Aufgabenblatt 4 1

Technische Universität 7. April 2021

Clausthal Institut für Informatik Prof. G. Kemnitz

Grundlagen der Digitaltechnik: Aufgabenblatt 4 (Simulation)

Hinweise: Schreiben Sie die Lösungen, so weit es möglich ist, auf die Aufgabenblätter. Tragen Sie Namen, Matrikelnummer und Studiengang in die nachfolgende Tabelle ein und schreiben Sie auf jedes zusätzlich abgegebene Blatt ihre Matrikelnummer.

Name Matrikelnummer Studiengang Punkte von 12

Das nachfolgende Beispielprogramm dient zur Veranschaulichung der Unterschiede zwischen einer Wertzuweisung an eine Variable und einer Zuweisung einer Werteänderung an ein Signal:

1

e n t i t y test_sig_var i s end e n t i t y ;

2

a r c h i t e c t u r e a of test_sig_var i s

3

s i g n a l s: integer := 0;

4

begin

5

p r o c e s s

6

v a r i a b l e v: integer := 0;

7

begin

8

A0: r e p o r t " s=" & integer ' image (s) & " v=" & integer ' image (v);

9

Z1: s <= s +1 a f t e r 0.5 ns; v := v +1;

10

A1: r e p o r t " s=" & integer ' image (s) & " v=" & integer ' image (v);

11

W1: wait f o r 1 ns;

12

A2: r e p o r t " s=" & integer ' image (s) & " v=" & integer ' image (v);

13

Z2: s <= s +1 a f t e r 0.5 ns; v := v +1;

14

A3: r e p o r t " s=" & integer ' image (s) & " v=" & integer ' image (v);

15

Z3: s <= s +1 a f t e r 0.5 ns; v := v +1;

16

A4: r e p o r t " s=" & integer ' image (s) & " v=" & integer ' image (v);

17

W2: wait f o r 1 ns;

18

A5: r e p o r t " s=" & integer ' image (s) & " v=" & integer ' image (v);

19

wait ;

20

end p r o c e s s ;

Aufgabe 4.1: Welche Werte werden in den einzelnen Report-Anweisungen für das Signal s und die Variable v ausgegeben? Füllen Sie hierzu nachfolgende Tabelle aus: 3P

A0 A1 A2 A3 A4 A5

s v

(2)

Prof. G. Kemnitz, TU Clausthal. Grundlagen der Digitaltechnik: Aufgabenblatt 4 2

Aufgabe 4.2: Bestimmen Sie die minimale Haltezeit und die maximale Verzögerungszeit der

nachfolgenden Schaltung. 4P

x

1

x

2

x

3

x

4

x

5

t

d4

=390 ps t

h4

=210 ps

&

t

d1

=370 ps t

h1

=120 ps

&

t

d3

=420 ps t

h3

=130 ps

&

t

d2

=560 ps t

h2

=180 ps

&

t

d5

=320 ps t

h5

=160 ps

& G5 y

2

G1

G3 G4

G2 y

1

Aufgabe 4.3: Gegeben sind der Signalussplan einer Schaltung aus zwei identischen Teilschal- tungen und die Funktionsbeschreibung der Teilschaltung.

c b a

d Gxx

c b a

d Gxx z

y G1

G2

x

4

x

3

x

2

x

1 1

e n t i t y Gxx i s

2

port (a, b, c: i n std_logic ;

3

d: out td_logic );

4

end e n t i t y Gxx ;

5

6

a r c h i t e c t u r e Verhalten of Gxx i s

7

begin

8

d <=( a and not c) or (b and c) a f t e r 1 ns;

9

end a r c h i t e c t u r e ;

Erstellen Sie eine VHDL-Strukturbeschreibung der Gesamtschaltung mit Schnittstellenbeschrei-

bung und namensbasierter Zuordnung. 2P

Aufgabe 4.4:

t

s

= 130 ps

t

n

= 80 ps t

dr

= 180 ps t

hr

= 110 ps x

1

x

2

x

3

x

4

t

d4

=390 ps t

h4

=210 ps

&

t

d3

=420 ps t

h3

=130 ps

& G3 G4 G5

t

d5

=320 ps t

h5

=160 ps

& y

Takt

In welchem Zeitfenster vor der aktiven Taktanke müssen die Signale x

1

, x

2

und x

4

gültig sein?

3P

Signal x

1

x

2

x

4

mindestens gültig ab

mindestens gültig bis

Referenzen

ÄHNLICHE DOKUMENTE

1 Die Aufgabe darf auch mit dem Simulator gelöst werden... Kemnitz, TU Clausthal. Alle Signale seien vom Typ std_logic. Kon- trollieren Sie mit ghdl, dass sich die

Aufgabe 6.3: Zeigen Sie durch Einsetzen, dass die baumartige Schaltung für die Berechnung des Block-Carry-Generate-Signals und des Block-Carry-Propagate-Signals dieselbe Funktion

Hinweise: Schreiben Sie die Lösungen, so weit es möglich ist, auf die Aufgabenblätter.. Kemnitz, TU Clausthal. 4P. c) Zeichnen Sie die komplette Schaltung des Automaten aus Gattern

c) Welche Signale werden auf den Logikanalysator ausgegeben. 1P d) Welche Signalverläufe zeigt der Logikanalysator für die aufgezeichneten Signale an, wenn vom PC der Byte-Wert

Hinweise: Schreiben Sie die Lösungen, so weit es möglich ist, auf die Aufgabenblätter. 1P b) Beschreiben Sie den Automaten mit den Änderungen aus Aufgabenteil a in VHDL in ei-

Hinweise: Schreiben Sie die Lösungen, so weit es möglich ist, auf die Aufgabenblätter. Tragen Sie Namen, Matrikelnummer und Studiengang in die nachfolgende Tabelle ein und schreiben

Hinweise: Schreiben Sie die Lösungen, so weit es möglich ist, auf die Aufgabenblätter.. Kemnitz,

Hinweise: Schreiben Sie die Lösungen, so weit es möglich ist, auf die Aufgabenblätter. Tragen Sie Namen, Matrikelnummer und Studiengang in die nachfolgende Tabelle ein und schreiben