• Keine Ergebnisse gefunden

64-041 Übung Rechnerstrukturen Aufgabenblatt 9

N/A
N/A
Protected

Academic year: 2021

Aktie "64-041 Übung Rechnerstrukturen Aufgabenblatt 9"

Copied!
4
0
0

Wird geladen.... (Jetzt Volltext ansehen)

Volltext

(1)

64-041 Übung Rechnerstrukturen

Aufgabenblatt 9 Ausgabe: 14.12., Abgabe: 21.12. 24:00 Gruppe

Name(n) Matrikelnummer(n)

Aufgabe 9.1 (Punkte 20)

Zeitverhalten von Schaltungen: Wir untersuchen das Zeitverhalten der folgenden Schaltung mit den beiden Eingängen C und D und dem Ausgang Q.

Q

C D

R S

Qn

Die Signalverzögerungszeit jedes NOR-Gatters sei genau 10 ns ist (ein Teilstrich in folgendem Diagramm). Überlegen Sie sich für die Eingaben C und D den Verlauf von S, R, Qn und Q.

Beachten Sie dabei, dass wegen der Verzögerung S und R jeweils eine Zeiteinheit, Qn und Q jeweils zwei Einheiten (und möglicherweise auch noch länger) undefiniert sind. Beachten Sie dabei, dass für undefinierte Werte x gilt: 0 ∨ x = x und 1 ∨ x = 0.

1

(2)

64-041 Übung Rechnerstrukturen Aufgabenblatt 9

0 1 0 1 0 1 0 1

0 1

1 0

R S C D

Q

Qn

10 20 30 40 50 100 150 200 250

Aufgabe 9.2 (Punkte 6 · 5(+1))

Flip-Flop Typen: Tragen Sie zu für die folgenden Flipflops den erwarteten Signalverlauf am Ausgang Q ein. Die Flipflops sind hier in VHDL-Syntax beschrieben: entity FF beschreibt die Ein- und Ausgänge der Flipflops, während architecture h name i dann die jeweilige Im- plementation beschreibt. Das Verhalten jedes der Flipflops ergibt sich aus den Anweisungen im Code; rising_edge(C), bzw. falling_edge(C) sind boole’sche Funktionen die nur wahr werden, wenn auf dem Signal C eine Vorder-/Rückflanke auftritt. Wenn die jeweils angege- bene Bedingung für die Zuweisung (Operator <=) nicht erfüllt ist, ändert sich der Ausgang Q nicht.

library IEEE ; -- vordefinierte Bibliothek

use IEEE . std_logic_1164 . all; -- std_logic anstatt bit

entity FF is

port( C : in std_logic ; -- Clock Eingang

D : in std_logic ; -- Daten , bzw . Enable Eingang Q : buffer std_logic ); -- Ausgang

end entity FF ;

Vervollständigen Sie für jedes Flipflop das folgende Impulsdiagramm (hier ohne Verzögerung) und geben Sie an, um was für einen Typ es sich dabei handelt (jeweils einen Zusatzpunkt).

0 1 0 1 0 1

Q C D

2

(3)

64-041 Übung Rechnerstrukturen Aufgabenblatt 9

( a ) architecture ARCH92a of FF is begin

process (C) is begin

if rising_edge (C) then Q <= not Q;

end if ; end process;

end architecture ARCH92a ;

( b ) architecture ARCH92b of FF is begin

process (C) is begin

if rising_edge (C) and (D = ’1 ’) then Q <= not Q;

end if ; end process;

end architecture ARCH92b ;

( c ) architecture ARCH92c of FF is begin

process (C) is begin

if (C = ’1 ’) then Q <= D;

end if ; end process;

end architecture ARCH92c ;

(d) architecture ARCH92d of FF is begin

process (C) is begin

if rising_edge (C) then Q <= D;

end if ; end process;

end architecture ARCH92d ;

( e ) architecture ARCH92e of FF is begin

process (C) is begin

if falling_edge (C) then Q <= D;

end if ; end process;

end architecture ARCH92e ;

3

(4)

64-041 Übung Rechnerstrukturen Aufgabenblatt 9

( f ) architecture ARCH92f of FF is begin

process (C) is

variable L : std_logic ; -- lokale Variable begin

if rising_edge (C) then

L := D; -- := ist Variablenzuweisung

elsif falling_edge (C) then -- Kurzform ’ else if ’ Q <= L;

end if ; end process;

end architecture ARCH92f ;

Aufgabe 9.3 (Punkte 10+10+20+10)

Entwurf eines Automaten: Zur Steuerung eines Fußgängerüberwegs soll eine Ampelschaltung entworfen werden. Beim Einschalten (Startzustand: Z

0

) zeigt die Ampel für die Autofahrer grün und für die Fußgänger rot an. Durch Druck auf einen Taster (t = 1) wird nun eine Grünphase für den Überweg ausgelöst, ansonsten bleiben die Ampeln in Zustand Z

0

(Au- to,Fußgänger = grün,rot). Wurde der Taster gedrückt, wechseln die Ampeln über Z

1

(gelb,rot) und Z

2

(rot,rot) nach Z

3

(rot, grün). Die Grünphase der Fußgänger soll 3 Takte andauern und umfasst damit 3 Zustände (Z

3

bis Z

5

). Anschließend wird die Straße wieder auf Grün geschal- tet und es werden die Zustände Z

6

(rot,rot), Z

7

(rot+gelb,rot) und Z

8

(grün,rot) durchlaufen.

Die Grünphase der Autos dauert mindestens zwei Takte: Z

8

und dann erneut Z

0

. Der Taster t ist ausschließlich im Zustand Z

0

wirksam.

( a ) Zeichnen Sie das Zustandsdiagramm des Moore-Automaten.

( b ) Ergänzen Sie die fehlenden Zustände und die zugehörigen Ausgangswerte. Die Tabel- le enthält links den Eingangswert t und den aktuellen Zustand Z in Binärcodierung ( z

3

, z

2

, z

1

, z

0

) . Angegeben sind dann der Folgezustand Z + und die Ausgangswerte zum Ansteuern der Lampen von Autoampel ( A

rt

, A

ge

, A

gr

) und Fußgängerampel ( F

rt

, F

gr

) . Verwenden Sie bei Bedarf don’t-care Werte.

t z

3

z

2

z

1

z

0

z +

3

z +

2

z +

1

z +

0

A

rt

A

ge

A

gr

F

rt

F

gr

0 0 0 0 0 0 0 1 1 0

1 0 0 0 0 0 0 1 1 0

∗ 0 0 0 1 0 0 1 0

∗ 0 0 1 0

. . . . . .

( c ) Übertragen Sie die Funktionen der Zustandstabelle in KV-Diagramme und minimieren Sie die einzelnen Funktionen. Markieren Sie mögliche Schleifen und geben Sie die zuge- hörigen Ausdrücke für Folgezustand und Ausgangswerte in disjunktiver Form an.

(d) Erweitern Sie den Automaten so, dass sich die Ampel nach einiger Zeit ausschaltet: wenn der Taster zwei Takte (nach Erreichen von Z

0

) nicht gedrückt wurde. Dazu können die

„freien“ Codierungen Z

9

bis Z

15

genutzt werden. Beschreiben Sie (textuell) die Funkti- onsweise und zeichnen Sie das zugehörige Zustandsdiagramm.

4

Referenzen

ÄHNLICHE DOKUMENTE

( b ) Bei der Berechnung werden alle Zahlen, auch die Zwischenergebnisse, auf vier Nach- kommastellen gerundet. ( c ) Welches Verfahren

Welcher Leistungs- verbrauch ergibt sich in diesem Fall für den Prozessor und wie sieht die Leistungsdichte (W/cm 2 ) aus, wenn der Chip eine Fläche von 15 mm 2 hat.. ( c )

Beachten Sie dabei, dass wegen der Verzögerung S und R jeweils eine Zeiteinheit, nQ und Q jeweils zwei Einheiten (und möglicherweise auch noch länger)

Zur Vereinfachung nehmen wir an, dass alle Gatter beim Umschalten die gleiche Verzögerung von jeweils einer Zeiteinheit aufweisenb. ( a ) Vervollständigen Sie die Impulsdia- gramme

Wir codieren die Ziffern 0 bis 9 im 4-bit Dualcode als 0000 bis 1001, die verbleibenden Codewörter sind nicht definiert.. ( a ) Geben Sie die Funktionstabellen für die beiden

( c ) Reduzieren Sie die Redundanz, indem Sie die Dezimalziffern (0. 9) auf einen Code mit variabler Länge (Fano oder Huffman) abbilden.?. 64-041 Übung Rechnerstrukturen

Wie viele Bytes belegt dieser Text bei Codierung nach ISO-8859-1, in direkter Unicode Darstellung und in UTF-8?. ( b ) Wir betrachten einen chinesischen Text mit insgesamt 750

Arithmetische Operationen mit Gleitkommazahlen: Gegeben seien sie beiden folgenden einfach- genauen Gleitkommazahlen gemäß IEEE 754, wobei von der Mantisse nur die oberen vier