• Keine Ergebnisse gefunden

64-041 Übung Rechnerstrukturen und Betriebssysteme Aufgabenblatt 9

N/A
N/A
Protected

Academic year: 2021

Aktie "64-041 Übung Rechnerstrukturen und Betriebssysteme Aufgabenblatt 9"

Copied!
5
0
0

Wird geladen.... (Jetzt Volltext ansehen)

Volltext

(1)

Aufgabenblatt 9 Ausgabe: 11.12., Abgabe: 18.12. 24:00 Gruppe

Name(n) Matrikelnummer(n)

Aufgabe 9.1 (Punkte 35)

Zeitverhalten von Schaltungen: Wir untersuchen das Zeitverhalten der folgenden Schaltung mit den beiden Eingängen I1 und I2 und dem Ausgang O.

O I1

I2

n1

n2

O

n3 I1

n4 I2

n5

n6

Die Signalverzögerungszeit jedes Gatters sei genau 1 ns ist (ein Teilstrich im Diagramm).

Überlegen Sie sich für die Eingaben I 1 und I 2 den Verlauf der Signale n1. . . n6 und des Aus-

gangs O. Beachten Sie dabei, dass zu Beginn der Simulation alle Ausgänge als undefiniert

gelten, so dass die weiter „hinten“ liegenden Leitungen möglicherweise erst nach mehreren

Zeiteinheiten definierte Werte annehmen. Für undefinierte Werte x gilt in Ausdrücken: x = x,

(2)

0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

0 1

1 2 3 4 5 10 15 20 25 30

I1 I2 n1 n2 n3 n4 n5 n6 O

Aufgabe 9.2 (Punkte 6 · 5(+1))

Flipflop Typen: Tragen Sie zu für die folgenden Flipflops den erwarteten Signalverlauf am Aus- gang Q ein. Die Flipflops sind hier in VHDL-Syntax beschrieben: entity FF beschreibt die Ein- und Ausgänge der Flipflops, während architecture h name i dann die jeweilige Im- plementation beschreibt. Das Verhalten jedes der Flipflops ergibt sich aus den Anweisungen im Code; rising_edge(C), bzw. falling_edge(C) sind boole’sche Funktionen die nur wahr werden, wenn auf dem Signal C eine Vorder-/Rückflanke auftritt. Wenn die jeweils angege- bene Bedingung für die Zuweisung (Operator <=) nicht erfüllt ist, ändert sich der Ausgang Q nicht.

library IEEE ; -- vordefinierte Bibliothek

use IEEE . std_logic_1164 . all; -- std_logic anstatt bit

entity FF is

port( C : in std_logic ; -- Clock Eingang

D : in std_logic ; -- Daten , bzw . Enable Eingang Q : buffer std_logic ); -- Ausgang

end entity FF ;

(3)

Vervollständigen Sie für jedes Flipflop das folgende Impulsdiagramm (auch hier mit einer Zeiteinheit Verzögerung je Flipflop) und geben Sie an, um was für einen Typ es sich dabei handelt (jeweils einen Zusatzpunkt).

0 1 0 1 0 1

0 1

0 1

0 1

0 1

0 1

Q (a)

Q (b)

Q (d)

Q (e)

Q (f)

Q (c)

C D

( a ) architecture ARCH92a of FF is begin

process (C) is begin

if rising_edge (C) then Q <= not Q;

end if ; end process;

end architecture ARCH92a ; ( b ) architecture ARCH92b of FF is

begin

process (C) is begin

if rising_edge (C) and (D = ’1 ’) then Q <= not Q;

end if ;

end process;

(4)

( c ) architecture ARCH92c of FF is begin

process (C) is begin

if (C = ’1 ’) then Q <= D;

end if ; end process;

end architecture ARCH92c ; (d) architecture ARCH92d of FF is

begin

process (C) is begin

if rising_edge (C) then Q <= D;

end if ; end process;

end architecture ARCH92d ; ( e ) architecture ARCH92e of FF is

begin

process (C) is begin

if falling_edge (C) then Q <= D;

end if ; end process;

end architecture ARCH92e ; ( f ) architecture ARCH92f of FF is

begin

process (C) is

variable L : std_logic ; -- lokale Variable begin

if rising_edge (C) then

L := D; -- := ist Variablenzuweisung

elsif falling_edge (C) then -- Kurzform ’ else if ’ Q <= L;

end if ; end process;

end architecture ARCH92f ;

(5)

Aufgabe 9.3 (Punkte 10+10+5+5+5)

Schaltwerk-Analyse: Wir betrachten das Zustandsdiagramm eines Automaten mit einem Ein- gang x, einer Ausgabe y und den drei Zuständen Z = ( z

2

, z

1

) = {( 1, 0 ) , ( 0, 1 ) , ( 1, 1 )} :

1 1

1 0 z

2

z

1

0 1

*/0

1/0 0/0

0/1

1/1

( a ) Ermitteln Sie aus dem Zustandsdiagramm die zugehörigen Gleichungen für die Über- gangsfunktion δ sowie die Ausgangsfunktion λ in disjunktiver Form.

Zur Lösung sollen dabei Übergangs- und Ausgangstabellen erstellt werden, die dann in KV-Diagramme übertragen werden und aus denen dann minimierte Schaltfunktionen ermittelt werden können.

( b ) Überprüfen Sie den Automaten auf Vollständigkeit (in jedem Zustand ist für jede Ein- gangsbelegung mindestens ein Übergang aktiv) und Widerspruchsfreiheit (in jedem Zu- stand ist für jede Eingangsbelegung höchstens ein Übergang aktiv).

( c ) Handelt es sich um einen Mealy- oder einen Moore-Automaten? Begründen Sie Ihre Ant- wort kurz.

(d) Erstellen Sie einen Schaltplan mit HADES.

( e ) Ist der Automat in der vorgegeben Form praktisch einsetzbar?

Referenzen

ÄHNLICHE DOKUMENTE

Darstellung negativer Zahlen: Geben Sie für jedes der folgenden 8-bit Bitmuster die fünf dezi- malen Werte an, die sich bei deren Interpretation als. (positive) ganze Zahl

Ebenen eines Digitalrechners: Wir nehmen einen Computer mit insgesamt vier Ebenen (0.. Die Ausführungszeit für einen Befehl auf der untersten Ebene beträgt

Welche Datenmenge ergibt sich entsprechend pro Jahr, und wie viele Daten kommen im Laufe eines ganzen Lebens (82 Jahre) zusammen. ( b ) Wir nehmen an, dass eine große

Internet of Things: Ein zunehmend aktuelles Thema ist die Einführung des sogenannten „In- ternet of Things“, wobei alle elektronisch gesteuerten Dinge (und in der Konsequenz,

( b ) Wenn die Befehlscodierung jeweils 8-bit für den Opcode verwendet (und natürlich 16- bit für eine Speicheradresse bzw. 4-bit für eine Registernummer), wie viele Bits werden

Dieser Ansatz hat jedoch mehrere Nachteile, weswegen man üblicherweise zwei (oder mehr) gekoppelte Automaten einsetzt: einen „Hauptautomaten“, der die eigentliche Funk-

Hamming-Code: Entsprechend dem in der Vorlesung vorgestellten Schema, wird ein 7-Bit Ham- ming-Code gebildet, um Einzelbitfehler korrigieren zu können?. Verfälschen Sie dazu in

( b ) Ersetzen Sie in obiger Formel die Multiplikationen durch Schiebeoperationen und Ad- ditionen und schreiben Sie eine möglichst einfache Java-Funktion die Ihre neue