• Keine Ergebnisse gefunden

High-temperature CVD silicon films for crystalline silicon thin-film solar cells

N/A
N/A
Protected

Academic year: 2022

Aktie "High-temperature CVD silicon films for crystalline silicon thin-film solar cells"

Copied!
180
0
0

Wird geladen.... (Jetzt Volltext ansehen)

Volltext

(1)

High-temperature CVD silicon films for crystalline silicon thin-film solar cells

Dissertation

zur Erlangung des akademischen Grades des Doktors der Naturwissenschaften

(Dr. rer. nat.)

an der Universität Konstanz Fakultät für Physik

vorgelegt von

Sandra Bau

Fraunhofer Institut für Solare Energiesysteme Freiburg

2003

(2)
(3)

i

Contents

1 Introduction 1

2 Crystalline Silicon Thin-Film (CSiTF) Solar Cells 3

2.1 Principle of CSiTF solar cells ... 3

2.1.1 General ... 3

2.1.2 CSiTF solar cell components ... 4

2.2 Thin Film Concepts ... 5

2.2.1 Low-temperature approach... 6

2.2.2 High-temperature approach... 7

2.2.3 Transfer techniques ... 7

3 Chemical vapor deposition (CVD) of silicon 9 3.1 Silicon deposition techniques... 9

3.1.1 Liquid Phase Epitaxy (LPE)... 9

3.1.2 Physical Vapor Deposition (PVD) ... 10

3.1.3 Chemical Vapor Deposition (CVD) ... 10

3.1.4 Overview on deposition techniques and applications ... 12

3.2 Deposition principle of silicon by thermal CVD... 13

3.2.1 Transport ... 13

3.2.2 Thermal equilibrium conditions ... 13

3.2.3 Reaction kinetics ... 15

3.2.4 Chemical yield... 19

3.3 Reactor design for APCVD... 20

3.4 APCVD at Fraunhofer ISE... 21

3.4.1 Reactor design ... 21

3.4.2 RTCVD100 ... 22

3.4.3 RTCVD160 ... 27

3.4.4 Continuous CVD (ConCVD) ... 28

3.5 Summary ... 30

4 Process Optimization for RTCVD100 33 4.1 Metrology ... 33

4.1.1 Thickness measurement ... 33

4.1.2 Doping control... 36

4.1.3 Impurity concentration measurements by SIMS ... 41

4.1.4 Defects... 42

4.1.5 Lifetime measurement by MW-PCD... 42

(4)

ii

4.2.2 Thickness uniformity... 43

4.2.3 Doping of epilayers ... 46

4.2.4 Crystal quality ... 48

4.2.5 Lifetime measurements ... 49

4.2.6 Chemical analysis... 50

4.2.7 Surface morphology of multicrystalline layers ... 52

4.3 Silicon deposition on foreign substrates... 54

4.3.1 Thickness uniformity... 54

4.3.2 Doping of seeding layers... 56

4.4 Layer growth in RTCVD160... 56

4.5 Summary ... 58

5 Doping of epitaxial silicon layers 61 5.1 Dopant incorporation... 61

5.2 Doping profiles of epitaxial layers ... 65

5.3 Experimental carrier concentration profiles ... 66

5.3.1 Boron diffusion and evaporation... 66

5.3.2 Background doping and memory effect ... 68

5.3.3 Doping profile of intrinsic epilayers... 71

5.3.4 Standard epitaxy ... 76

5.3.5 Deposition with pre-epitaxial diffusion... 80

5.3.6 High-low deposition ... 81

5.4 Effect of doping profile on solar cell performance... 82

5.5 Improved gas system design... 84

5.6 Summary ... 85

6 Epitaxial thin-film solar cells 87 6.1 Solar cell concept ... 87

6.1.1 Silicon substrate materials... 88

6.1.2 Efficiency ... 89

6.1.3 Epitaxial deposition systems ... 90

6.1.4 Efficiency table for epitaxial thin-film solar cells... 90

6.2 Solar cells on Cz-Si substrates ... 91

6.2.1 Solar cell processing... 92

6.2.2 RTCVD-process A vs. B ... 93

6.2.3 Epilayer quality ... 95

6.2.4 Comparison of solar cell technologies ... 96

6.2.5 Overview on solar cell efficiencies ... 101

6.2.6 Characterization by lock-in thermography... 102

6.2.7 Summary ... 104

(5)

6.2.8 Solar cell simulation... 105

6.3 Solar cells on mc-silicon substrates... 111

6.4 Solar cells on reclaimed silicon wafers ... 116

6.5 Front surface texturing for epitaxial cells... 119

6.6 Innovative solar cell technology by CVD ... 120

6.6.1 Emitter epitaxy ... 120

6.6.2 Boron BSF epitaxy and diffusion... 120

6.6.3 In-situ HCl texturing ... 121

6.7 Summary ... 121

7 Silicon thin-film solar cells on insulating substrates 123 7.1 Solar Cell principle and technology ... 123

7.1.1 Layer system ... 123

7.1.2 Cell technology ... 124

7.2 Silicon thin-film solar cells on ceramic substrates ... 125

7.2.1 Material and solar cell preparation ... 126

7.2.2 Silicon thin-films on silicon-infiltrated silicon carbide ceramics (SiSiC)... 128

7.2.3 Silicon thin-films on hot-pressed silicon nitride ceramics ... 132

7.2.4 Silicon thin-films on tape cast silicon nitride ceramics... 136

7.2.5 Silicon thin-films on SiAlON ceramics... 141

7.3 Summary ... 143

8 Summary 145

Deutsche Zusammenfassung 149

Appendix A Abbreviations 153

Appendix B Solar cell fundamentals 155

Bibliography 159

Publications 171

Acknowledgements 173

(6)
(7)

1

1 Introduction

The development of renewable energies is motivated by the wish to avoid the problems associated to nuclear and fossil energy production and to use environment-friendly energy sources instead. During the last decade the photovoltaic (PV) market has experienced a steady growth of 15-25% with the growth rate even exceeding 40% in 2000 [1]. In the near future a further increase in growth rate is expected. Despite this rising trend, energy production by photovoltaic sources only plays a minor role with respect to the world’s energy production. At present, PV electric energy is still more costly than grid electricity and government subsidies programs are running to support the application of PV systems.

Today’s PV module market is dominated by crystalline silicon solar cells. In 2001, about 91% of the PV market share were held by crystalline silicon, the major part being provided by polycrystalline (48%) and single-crystal (35%) material (Figure 1.1). Silicon solar cell manufacturing benefits from a mature technology and expertise available from microelectronics, non-toxicity, long-term stability and large material abundance of silicon. Thin-film technologies like amorphous silicon (a-Si:H), CIS (CuInGaSe2) and CdTe make up for only a small fraction of the world’s module market. While the efficiency of a-Si:H modules still ranges on a comparatively low level of 8%, and CIS solar cells have to deal with a possible indium bottleneck, CdTe is the least attractive material due to the high toxicity of Cd and Te. The latter two technologies have just about started pilot-line production.

Polycrystal Si 47.54%

Single Crystal Si 35.17%

Si Film 0.26%

Ribbon Si 3.5%

a-Si on Cz Slice 4.63%

Amorphous Si 8.3%

CIS 0.18%

Cadmium Telluride 0.42%

Figure 1.1: Market shares of photovoltaic materials (after [2]).

About 40% of the silicon module cost are made up by the silicon wafer [3]. With increasing growth of the photovoltaic market, the demand for crystalline silicon material will rise. Currently, the PV industry obtains silicon wafers and raw material from microelectronic production: off spec, pot-scrap, tops and tails from electronic grade silicon production are used as silicon sources for solar cell manufacturing [4]. The price for a silicon wafer and with that the module price is therefore highly dependent on the microelectronic market. With growing PV industry the need for crystalline silicon

(8)

wafers will permanently rise, while in microelectronics the trend goes to smaller devices and higher device density per wafer i.e. lower material consumption and production. The two counteracting trends led to an imminent silicon feedstock bottleneck for PV applications. Today’s research activities seek to avoid or diminish this shortage by the development of alternative silicon solar cell concepts with reduced silicon consumption and by the establishment of an independent solar grade silicon production [5]. In the long term, thin-film cells (silicon or other materials) are assumed to become the market dominating technology.

The concept of crystalline silicon thin-film (CSiTF) solar cells can substantially reduce silicon material consumption and has the potential to reach high efficiencies comparable to wafer silicon solar cells. During the past decade a lot of research has been done on this subject and a large variety of silicon thin-film solar cell concepts have been investigated. At present, none of the approaches has made a final breakthrough to industrial production and the neck-and-neck race continues to push research activities further on.

This work deals with the deposition of silicon films for CSiTF solar cells and the realization of this cell concept by different approaches. The following chapter explains the basic components of a crystalline silicon thin-film solar cell and gives an overview on current approaches.

In the third chapter, silicon deposition techniques are reviewed and the potential of atmospheric pressure chemical vapor deposition (APCVD) for an application in CSiTF solar cell technology is motivated. The silicon deposition process by chemical vapor deposition is theoretically explained and an example for a silicon growth model is given. Subsequently the silicon deposition and reactor design pursued at Fraunhofer ISE is presented. The APCVD reactor concept is adapted to the needs of photovoltaic industry an therefore differs from commercial reactor configurations. Technical details are discussed and key features of the reactor design are explained.

The characterization and optimization of silicon epitaxial layers (epilayers) and silicon layers on foreign substrates (seeding layers) by APCVD is presented in chapter four. Silicon films grown under different process conditions are analyzed and standard processes are defined, which allow for a deposition of silicon layers with well defined properties. The controlled growth of silicon films is a prerequisite for a successful preparation of any device based on these layers and the results obtained during the optimization process are therefore of great importance for the entire work.

The detailed characterization of carrier concentration profiles in silicon epilayers by Spreading Resistance Profiling is subject of chapter five. Important insight on the effect of the gas system on carrier concentration profiles and on the mechanisms of boron incorporation during silicon deposition can be obtained from this analysis.

Chapter six deals with the preparation of epilayers on different electrically inactive silicon substrates for a preparation of epitaxial silicon thin-film solar cells. The application of industrial type solar cell processing techniques on epitaxial material is a main topic of this work and an extensive investigation of possible interactions between epilayers and different solar cell process steps is carried out. The use of multicrystalline and potential low-cost reclaimed silicon wafers as substrates is studied.

In the last chapter, silicon thin-film solar cells on non-conductive ceramic substrates are investigated.

Silicon films are prepared on four different ceramic substrates by silicon layer deposition, recrystallization and epitaxy of the base layer. Sample structure and solar cell performance are characterized in detail and the suitability of the applied ceramic substrates is evaluated.

(9)

3

2 Crystalline Silicon Thin-Film (CSiTF) Solar Cells

Reduction in final module cost is one of the basic motivations in silicon solar cell research today. Main focus is put on a reduction in electronic grade silicon usage and solar cell concepts consuming less silicon material and ways to bypass the silicon feedstock bottleneck are currently investigated.

The concept of crystalline silicon thin-film solar cells tackles this problem by using an active device region which is reduced to a thin layer, only about one tenth of conventional wafer cells. The fundamental principles and different approaches for CSiTF solar cells are reviewed in this chapter.

2.1 Principle of CSiTF solar cells

2.1.1 General

Cost-saving is the key word associated to most solar cell research subjects today. Considering the cost breakdown for a commercial silicon PV module, the silicon wafer makes up for 42% of the final module cost, while the remaining 58% are shared by module fabrication and solar cell technology [3].

With increasing growth of silicon module production the consumption of electronic grade silicon for PV applications rises and silicon feedstock is assumed to get short in the future, leading to an increase in material cost. The largest cost-saving potential in silicon module production can be expected from the silicon wafer. Reducing silicon feedstock cost and lowering silicon consumption are two ways to reduce the price for silicon solar cell material. The latter solution is addressed in the following.

Conventional silicon solar cells are prepared on silicon wafers of 250-300 µm thickness. The technology used for wafering and the need for mechanical stability of the device determine the wafer thickness. With respect to solar cell performance thinner base layers have the potential to yield similar or even higher efficiencies compared to conventional “thick” wafer cells and therefore many research groups follow a “thin silicon film” approach to reduce material consumption.

- Thin wafers

Wire sawing of silicon ingots is state-of-the-art technology for the production of silicon wafers resulting in wafer thickness of 250-300 µm with a kerf loss in the range of 200 µm. From a technical point of view a reduction of kerf loss is difficult to realize, due to an increased danger of wire breakage with decreasing saw wire thickness. Reducing the wafer thickness and therefore increasing wafer output is more feasible and can substantially lower material cost [6], [5] without suffering from efficiency losses. While the production of thin wafers (~100 µm thickness) is already technically feasible, solar cell processing of these wafers is still a problem due to the increased fragility of the material. At present, research activities in this area deal with the development of new wafering technologies and the development of solar cell processes adapted to the properties and needs of thin wafers.

(10)

- Silicon ribbons

Silicon ribbon technologies bypass the necessity for ingot production and the associated loss in silicon material. The edge-defined film-fed growth (EFG) by ASE is the most mature ribbon technology. Following this approach, silicon sheets with a thickness of 250-300 µm are directly pulled from the melt, laser cut and used as silicon wafer material. The String Ribbon technique by Evergreen Solar, the Dendritic Web by Ebara, RGS (ribbon growth on substrate) by ECN (Netherlands Energy Research foundation) and SSP (silicon sheets from powder) by Fraunhofer ISE constitute other important silicon ribbon techniques. Higher throughput and further decrease in film thickness are two major issues in silicon ribbon development to become industrially relevant technologies. An overview on this issue can be found in [7].

- Silicon thin-film solar cells

The concept of silicon thin-film solar cells is based on the deposition of a thin (<50 µm) active silicon layer on a low-cost substrate. Within this approach the manufacturing of silicon ingots and wafering is avoided and material loss is mainly determined by the chemical yield of the deposition process. Moreover, silicon deposition from a gaseous source is used in most approaches with the silicon-containing source gas being an early element in the chain of silicon ingot production. The benefit from using a crystalline silicon thin-film concept compared to conventional wafer technology is the potential to reach high efficiencies at low material consumption. A detailed overview on crystalline silicon thin-film concepts is given in [8] and [9].

The cost per MWp photovoltaic electric power production is determined by module manufacturing cost, module efficiency and up-time. Therefore the choice of the solar cell concept to be followed is actually a trade-off between the two first aspects.

2.1.2 CSiTF solar cell components

The working principle of a solar cell is extensively discussed in various textbooks and shall not be described here [10], [11]1. Instead, the essential features of a CSiTF solar cell will be explained to enable an understanding of the device structure.

Efficiency potential

Reducing the thickness of a solar cell results in an increase in open-circuit voltage, if the short-circuit current can be maintained and surface recombination velocities are sufficiently low [12]. So, if efficient light trapping and surface passivation schemes can be provided, a solar cell with reduced thickness can even yield higher efficiencies compared to a corresponding “thick” wafer cell, assuming equal bulk diffusion lengths. This holds especially for low quality material featuring low minority carrier diffusion lengths. The potential of crystalline silicon thin-film solar cells and similarly thin crystalline silicon solar cells is based on these interrelations.

An indicator which is often used to qualitatively estimate the potential of a solar cell is the ratio of cell thickness W to bulk diffusion length Ln. Assuming zero surface recombination velocities and active base thickness substantially smaller than bulk diffusion length, the saturation current density is

1 A short introduction to solar cell device physics is given in the appendix.

(11)

2.2 Thin Film Concepts 5

proportional to the ratio W/Ln i.e. the lower the base thickness at constant diffusion length, the lower the saturation current [12]. For low quality material, a reduction in base layer thickness is therefore of advantage. As a rule of thumb the effective minority carrier diffusion length in the base should exceed 2-3 times the layer thickness to achieve reasonable efficiencies for CSiTF solar cells. In the appendix, the correlation between saturation current, open-circuit voltage and the ratio W/Ln is derived.

Light trapping

Silicon is an indirect semiconductor and a wafer thickness exceeding 140 µm is needed to absorb 90%

of the incident photons of the solar spectrum (AM1.5)2. Reducing the active base thickness to 20 µm a fraction of about 75% of the incident photons can be used for photocurrent generation [13]. The photon absorption capacity in a thin film can be increased by increasing the optical path length in the layer. Features leading to such an enhancement are commonly referred to as light trapping schemes. In silicon thin-film solar cells an efficient light trapping is mandatory to achieve high photocurrents despite the reduced absorber thickness.

Surface texturing combined with a diffuse back side reflector effectively confines the incident light to the active device region. Apart from increasing the optical path length, the surface texturing also reduces reflection. Similarly, antireflection coatings deposited on the surface of the solar cell decrease the fraction of reflected light which is coupled into the bulk of the device instead.

Surface and bulk passivation

With decreasing device thickness surface recombination losses gain in importance and surface passivation schemes are indispensable. While the front surface can be well passivated by oxide or nitride layers, the rear surface of the active base region is given by the highly recombinative substrate surface for thin-film solar cells. Minority carriers reaching this surface quickly recombine and are lost for photocurrent generation. The implementation of a back surface field (BSF), a highly doped region at the back of the base, confines the minority carriers to the lower doped base region, thereby reducing the rear surface recombination losses.

Recombination on grain boundaries dominates minority carrier lifetime in polycrystalline silicon thin- film solar cells. Passivation of grain boundaries e.g. by hydrogen is often used to reduce recombination and increase bulk minority carrier lifetime.

2.2 Thin Film Concepts

Traditionally, the solar cell concepts for crystalline silicon thin-film cells are divided into the so-called high-temperature and low-temperature approach, according to the maximum temperature the device can tolerate during processing. The threshold temperature is usually determined by the temperature stability of the substrate to be used. Within this classification the transfer (or lift-off) techniques take an exceptional position. Using this approach, epitaxial silicon films are grown at high or medium temperatures on ideal silicon wafers and subsequently transferred to low-cost, mechanically supporting substrates. So, with respect to the host substrate used for silicon deposition, this technique

2 AM1.5: Air Mass 1.5, standard solar spectrum used for terrestrial solar cell calibration. The sunlight passes an air mass which is by factor 1.5 larger compared to vertical incidence.

(12)

belongs to the high-temperature approach although the final supporting substrate is in general a low- temperature material. In the following, the transfer techniques are discussed in a separate section.

Common to all approaches is the need for a suitable high-rate silicon deposition tool to satisfy the need for high throughput imposed by industrial production.

2.2.1 Low-temperature approach

Within the low-temperature approach, glass is the most prominent and most attractive substrate material. Borosilicate glasses and soda lime glasses are mechanically stable up to 650°C and 550°C respectively, and can be produced on a large scale at comparatively low cost. In addition, plastic films and steel have been investigated as potential low-cost substrates. Silicon deposition and solar cell processing have to be adjusted to the temperature limit imposed by these substrate materials.

PECVD, IAD, and HWCVD3 are widely used for the deposition of silicon layers at low temperatures in silicon thin-film solar cell R&D. These techniques are characterized by growth rates in the range of several ten nm/min and the deposited silicon films feature a crystal structure from microcrystalline4 to amorphous. The latter characteristic represents a major drawback of the low-temperature approach, since the minority carrier lifetime in these materials is limited by recombination at grain boundaries.

The application of recrystallization steps allows an enlargement of the grain size and therefore an increase in bulk diffusion length. However, due to the temperature restriction, the recrystallization process is confined to few low-temperature techniques e.g. solid-phase crystallization [14], aluminum- induced crystallization [15] or laser-beam crystallization [16]. Up to now, no satisfactory results could be achieved using these methods and therefore only few routes are still pursued.

The concept of micromorph silicon tandem cells was introduced by the research group at the Institute for Microelectronics at the University of Neuchâtel [17]. The micromorph tandem structure is composed of a high-bandgap amorphous top and a low-bandgap intrinsic microcrystalline bottom layer, deposited by VHF-PECVD5. Stable solar cell efficiencies of 10.7% and integrated module (24 cm2) efficiencies of 9.8% have been achieved using a 2 µm bottom cell, demonstrating the potential of this concept [18]. Compared to a-Si:H solar cells, the micromorph tandem cells feature an enhanced stability and the potential for higher efficiencies.

Using a similar concept, the Kaneka research group achieved initial efficiencies of 14.5% for a 1 cm2 solar cell and 12.3% for an integrated solar cell module with an aperture area of 3738 cm2 [19].

However, only little details concerning deposition or recrystallization techniques and growth rates are disclosed.

3 PECVD: Plasma-Enhanced Chemical Vapor Deposition, IAD: Ion-Assisted Deposition, HWCVD: Hot-Wire Chemical Vapor Deposition. All silicon deposition techniques will be explained in the following chapter.

4 The notation of silicon crystal structures is classified according to the grain size: nanocrystalline (nc) with 1- 100 nm grain size, microcrystalline (µc) with 100 nm-1 µm grain size, polycrystalline with grain size exceeding 1 µm and multicrystalline (mc) with grain size in the mm and cm-range.

5 VHF-PECVD: Very High Frequency Plasma-Enhanced Chemical Vapor Deposition.

(13)

2.2 Thin Film Concepts 7

2.2.2 High-temperature approach

Temperatures up to the melting point of silicon can be applied within the high-temperature approach.

This enables the use of fast silicon deposition techniques like APCVD and liquid-phase recrystallization e.g. by zone-melting, yielding large grain sizes in the mm or even cm-range.

Moreover, conventional diffusion and metallization processes are feasible and common silicon solar cell technologies can be used.

The large variety of solar cell concepts in the high-temperature approach can be classified according to the substrate in use. The need for high temperature stability restricts the choice of potential substrate materials to few candidates like low-cost silicon and high-temperature ceramics.

Using low-cost silicon as substrate material the active silicon base layer can be deposited by epitaxy (epitaxial solar cell) at high temperatures. Potential low-cost silicon materials are e.g. reclaim wafers from microelectronic industry or cast metallurgical-grade (MG) silicon wafers. The epitaxial solar cell represents a silicon wafer equivalent which can be processed by conventional techniques and can therefore be directly introduced into standard industrial production lines, making this structure a very attractive concept. The preparation of epitaxial thin-film solar cells is one of the major subjects of this work and is therefore discussed in detail in chapter 6.

Silicon deposition on foreign substrates results in a microcrystalline grain structure and the application of recrystallization steps to increase the grain size becomes indispensable. Low-cost substrates often inhibit high impurity concentrations and diffusion barriers between substrate and active silicon layer are necessary to prevent a contamination of the base layer. At the same time, the diffusion barrier can act as backside reflector, thereby increasing the optical path length and photocurrent generation. Using electrically conductive substrate and barrier layer, a conventional 2-side contact scheme can be applied. Otherwise, alternative contact designs have to be developed e.g. with both contacts located on the front side of the cell. The potential of this concept has been demonstrated by the Mitsubishi Electric Corporation, where an efficiency of 16.45% could be achieved for a silicon thin-film solar cell based on a recrystallized silicon layer on SiO2 encapsulated silicon substrate [20].

The main drawbacks of the high-temperature approach is the lack in adequate high throughput silicon deposition reactors and the substrate and barrier layer question.

2.2.3 Transfer techniques

The general concept of transfer techniques is based on the formation of a high-quality single-crystal silicon thin-film on a host-substrate by epitaxy, contact and emitter formation on the epitaxial layer, attachment of the epilayer to a mechanically supporting substrate and separation of the device from the host substrate. To make the transfer technique cost-effective, the host substrate has to be recycled to enable multiple use. Thin silicon films of excellent crystal quality can be prepared by this method and high efficiencies have already been demonstrated. Critical issues of this concept are the detachment procedure, recycling of the host substrate and the need for high throughput silicon deposition.

The Epilift technique

The Epilift concept has been introduced by the PV research group at The Australian National University (ANU). A single-crystal silicon wafer covered with a mesh-like oxide layer is used as host substrate. LPE is applied for silicon deposition and selective epitaxial growth occurs on the exposed

(14)

silicon area leading to an epitaxial silicon waffle-grid. Using an interdigitated grid design, solar cell processing is carried out with the epilayer still being attached to the substrate. The epilayer is detached from the substrate by laser cutting, wet-chemical etching or by applying a mechanical force. A detailed description of this method can be found in [21]. Efficiencies of up to 13% have been recently reported on a 1 cm2 solar cell [22].

Porous silicon

Several research groups focus on the application of single-crystal substrates with sacrificial porous layer for thin-film formation. In general, at least two layers of different porosity are formed on the substrate surface, with the top and bottom layer featuring low and high porosity respectively. Thermal annealing of the sample under hydrogen leads to a closing of the pores in the top porous layer, which is subsequently used as substrate for epitaxial deposition of a thin silicon film. After epitaxy, emitter contact formation is accomplished on the epilayer surface, a superstrate (usually glass) is attached to the surface and separation of the semi-finite device from the host wafer is done via the second, weak porous layer. After lift-off the host substrate can be reused.

Using this approach, the research group at the Bavarian Center of Applied Energy Research (ZAE) introduced the Ψ-process (Ψ: psi for perforated silicon) [23] and the PSI-process (porous silicon) [24].

Solar cells based on so-called QMS-layers (quasi-monocrystalline, referring to the structure of the top porous layer) [25], [26] were presented by the Institute of Physical Electronics and the University of Stuttgart leading to efficiencies up to 16.6% for silicon thin-films transferred to glass superstrates [27].

Using a similar process route, the Sony Corporation (Japan) reported 12.5% efficiency for silicon films transferred to transparent plastic films [28].

(15)

9

3 Chemical vapor deposition (CVD) of silicon

With the advent of silicon based electronic devices the deposition of silicon layers became a key technology in microelectronic production.

Polycrystalline and especially epitaxial silicon layers are widely used e.g. in bipolar and MOS applications. Silicon thin-film solar cells are based on the use of a thin active silicon layer for photocurrent generation and therefore the deposition of silicon films on various substrate materials has also become a major issue in this research area. The silicon deposition techniques used for photovoltaic applications greatly benefit from the expertise in microelectronic industry.

An overview on silicon deposition techniques and applications is given in this chapter. The principles of thermal CVD are explained in more detail in terms of transport phenomena and chemical reactions and common reactor designs for APCVD systems are presented. The chapter closes with a technical description of the APCVD systems developed at Fraunhofer ISE for photovoltaic applications.

3.1 Silicon deposition techniques

For the deposition of silicon a large variety of techniques exists which can be roughly categorized by the silicon source in use. In liquid-phase epitaxy (LPE) a melt consisting of silicon and a metal solvent is used as silicon source. The deposition from vapor phase can be split up in two groups: physical vapor deposition (PVD) and chemical vapor deposition (CVD). Physical vapor deposition refers to techniques very similar to evaporation where solid silicon is transferred into the gas phase under high vacuum conditions. Solid-source molecular beam epitaxy (MBE) is the most prominent example to be mentioned in this context. Chemical vapor deposition denotes deposition processes which are based on chemical reactions with the silicon-containing reactants being supplied by a gaseous source. Common methods to activate the chemical reaction are thermal heating of the samples (resistive heating, radiofrequency induction, high-intensity lamps, lasers), plasma and catalytic activation. In plasma- enhanced chemical vapor deposition (PECVD) the activation energy is provided by thermal heating supported by plasma making depositions at low temperatures possible.

3.1.1 Liquid Phase Epitaxy (LPE)

In silicon liquid-phase epitaxy a metal solvent saturated with silicon is used as silicon source. Solvents which are typically applied are indium (In) and tin (Sn) or other low-melting metals. Intentional doping of the growing layers is achieved by adding suitable dopants like gallium (Ga) for p-type and indium-phosphite (InP) for n-type layers from In melt. For silicon growth the solvent is heated and brought into contact with silicon bulk material thus producing a silicon saturated melt according to the phase-diagram. When saturation is reached the melt is cooled down resulting in a supersaturation.

(16)

Silicon samples are introduced into the melt and excessive silicon crystallizes on the free silicon surface. Starting from temperatures well above 900°C the melt is slowly cooled down at rates in the range of few Kelvin per minute and below. The growth process takes place near thermal equilibrium with growth rates in the range of 1 µm/min.

For LPE tipping boat or centrifugal systems are traditionally used [29]. Long process cycles and small wafer size capacity result in low throughput. The necessity for solvent metals of high purity (6N) represents a significant cost factor for this technology. Silicon LPE is mainly used in photovoltaic research applications.

3.1.2 Physical Vapor Deposition (PVD) Molecular Beam Epitaxy (MBE)

Silicon deposition by MBE from a solid source is accomplished by electron beam evaporation of silicon in ultra-high vacuum (UHV). Ultra-pure silicon source materials have to be employed to keep the contamination level of the deposited films on a low level. Layer doping is achieved by coevaporation of dopant material e.g. aluminum (Al) for p-type and Ga or antimony (Sb) for n-type doping [30]. Silicon deposition by MBE can be performed in a wide temperature range, from room temperature to temperatures well above 500°C. For the growth of device-quality silicon epilayers, sample temperatures exceeding 450-500°C have to be used. Typical growth rates are 0.6-6 nm/min [30].

The most crucial issue in MBE is the necessity for very clean sample surface, reactor and vacuum conditions to grow high quality epilayers. MBE allows a low-temperature deposition of very thin films on a submicron-scale with sharp transition regions. Applications of MBE include e.g. silicon submicron devices, deposition of metal silicides or heteroepitaxy of thick strained SiGe layers on Si substrates.

Ion-Assisted Deposition (IAD)

Similar to MBE, solid silicon is evaporated by electron beam evaporation in IAD. About 1-5% of the evaporated silicon atoms are ionized and accelerated onto the substrate. Due to the kinetic energy of the ions, the substrates can in principle be maintained at lower temperatures for silicon deposition compared to MBE. In [31] epitaxial deposition at 525-650°C with growth rates of 0.06-0.3 µm/min are reported. In silicon thin-film solar cell R&D, IAD is used for the deposition of silicon films on low- temperature substrates.

3.1.3 Chemical Vapor Deposition (CVD) Thermal Chemical Vapor Deposition

Thermal CVD is based on the decomposition of silicon-containing source gases at the heated sample surface and subsequent incorporation of silicon atoms into the growing film. Thermal CVD can be carried out at different operation regimes depending on process temperature and pressure.

Atmospheric pressure CVD (APCVD) operates at high deposition temperatures up to 1300°C where deposition rates up to 10 µm/min can be achieved. Trichlorosilane (SiHCl3, TCS) highly diluted in hydrogen is typically used as silicon source gas. In-situ layer doping is achieved by adding suitable

(17)

3.1 Silicon deposition techniques 11

dopant gases, e.g. diborane (B2H6) and phosphine (PH3) diluted in hydrogen for p-type and n-type doping respectively. APCVD is in favor of epitaxial depositions because the high process temperature enables an optimal arrangement of deposited atoms in the silicon crystal matrix and crystals with extremely low defect densities can be grown. Typically, silicon epitaxy by APCVD is carried out in a temperature range of 950-1250°C. Compared to other deposition techniques, where high vacuums and therefore complex pumping systems are necessary, little technological effort is needed for CVD at atmospheric pressure and continuous systems are feasible.

Most APCVD system can also be operated at reduced pressure (RPCVD). The deposition chemistry is identical to APCVD but the process pressure is reduced to approx. 103–104 Pa. Therefore epitaxial depositions can be carried out at lower temperatures without deterioration of crystal quality.

Low-pressure CVD (LPCVD) is mainly applied for the deposition of polysilicon layers. The deposition of epitaxial silicon is also feasible but not widely used. SiH4 typically serves as silicon source gas and B2H6 and PH3 as dopants. At deposition temperatures in the range of 580-630°C and low pressures of 10-100 Pa, polysilicon layers can be grown with deposition rates of 5-20 nm/min [33]. LPCVD is widely used in microelectronic industry for the deposition of polycrystalline silicon and amorphous materials [34].

Ultrahigh-vacuum CVD (UHV-CVD) is operated at still lower pressures than LPCVD (10-1-10-3 Pa).

A load-lock is necessary and turbo-molecular pumps are essential to reach the required vacuum. Ultra- clean process conditions are mandatory to enable epitaxial layer growth. Compared to LPCVD the diffusivity of molecules is increased and depositions are possible at low temperatures, down to 550°C [34]. Similar to MBE, UHV-CVD allows an accurately controlled deposition of high quality, thin Si and SiGe epitaxial layers with extremely sharp transitions.

Plasma-Enhanced Chemical Vapor Deposition (PECVD)

PECVD is based on the dissociation of a silicon source gas in a plasma and subsequent deposition on a heated substrate. Radio frequency (RF) power in the MHz range is commonly used as excitation source. Sample temperatures below 400°C are feasible which enables the processing of temperature sensitive samples at low thermal budget. The operating pressures range from 10-0.1 Pa and typically silane (SiH4) is used as silicon source gas. Silicon epilayers may be grown but at lower quality compared to thermal CVD because of the reduced deposition temperature.

PECVD allows the deposition of a large variety of materials with different properties. It is widely used for the deposition of dielectric layers, hydrogenated microcrystalline (µc-Si:H) and amorphous (a- Si:H) silicon films.

Hot-Wire CVD (HWCVD)

In hot-wire or thermocatalytic (Cat) CVD SiH4 is decomposed by a catalyst, usually a heated tungsten or tantalum wire in a low pressure ambient. During the deposition the substrate temperature is held at a constant level in the range of 200-500°C. Amorphous and microcrystalline silicon layers can be deposited at rates up to 300 nm/min and 60 nm/min respectively.

HWCVD has raised increasing interest in the past decade because this method allows the deposition of silicon films with improved electrical stability and at higher growth rates compared to PECVD.

(18)

Applications in thin-film solar cells and thin-film transistors (TFTs) are currently under investigation [32].

3.1.4 Overview on deposition techniques and applications

The demand for a controlled deposition of epitaxial and polycrystalline silicon layers emerged from VLSI technology and applications. In microelectronic industry the focus for e.g. silicon epilayers is on perfect crystallinity, sharp doping transitions as well as thickness and doping uniformity. Sophisticated deposition techniques have been developed and optimized to accomplish these requirements. Today silicon deposition by CVD is state-of-the-art for all epitaxy processes used in microelectronic production. With the ever decreasing size of microelectronic devices and novel device designs, today’s research activities focus on industrial feasible technologies for very thin epilayers deposited at low temperatures.

Silicon deposition technologies have also become an important tool in silicon thin-film solar cell R&D for the formation of thin active base layers. Depending on the solar cell structure and especially on the substrate-type (see section 2.2) different silicon deposition techniques are applied. Similar to microelectronic devices the deposition technique is chosen according to the maximum temperature tolerable for device fabrication.

T [°C] Rate

[µm/min]

Si-source Si-film Ref. Applications

Liquid Source (LPE)

LPE 950 0.1...1 In solvent Epi-Si [35]

RLPE6 930 2...4 In solvent Epi-Si [36] Epi-lift, epitaxial thin-film solar cells Solid Source (PVD)

MBE >300 0.06...0.12 Solid Si Epi-Si [30] Submicron epitaxial silicon films

IAD 525...650 0.06...0.3 Solid Si Epi-Si [31] Silicon thin-film solar cells on glass, Ψ-process Vapor Source (CVD)

LPCVD 550...750 0.2 SiH4 Poly-Si [37] Sensors, diodes, transistors, gate electrode in MOS devices, emitter/base contacts in bipolar devices 900...1050 0.1...0.8 SiH2Cl2 Epi-Si [38], [39] Epitaxial thin-film solar cells

APCVD 1170 6...10 SiHCl3 Epi-Si This work

1000...1200 3...6 SiHCl3 Epi-Si [40]

950...1050 1...3 SiHCl3 Epi-Si [41]

Epitaxial thin-film solar cells, Thin-film solar cells on foreign substrates, QMS and PSI process, bipolar and MOS esp. CMOS applications PECVD 150...450 0.006...0.018 SiH4, SiF4 µc-Si:H, a-Si:H [42]

VHF- PECVD

250 0.3 SiH4 µc-Si:H [43]

HWCVD 250...500 0.06...0.3 SiH4 µc-Si:H, a-Si:H [44]

a-Si:H solar cells, micromorph tandem solar cells, sensors, thin film transistors

Table 3.1: Overview on silicon deposition techniques and applications.

6 RLPE: Rapid LPE.

(19)

3.2 Deposition principle of silicon by thermal CVD 13

Table 3.1 gives an overview on the most commonly used silicon deposition techniques and main applications with emphasis on silicon solar cell R&D.

3.2 Deposition principle of silicon by thermal CVD

The deposition of microcrystalline and epitaxial silicon layers by APCVD for silicon thin-film solar cells is the major subject of this work. This section aims to give an insight on the principles of silicon deposition by thermal CVD with main focus on TCS as silicon source gas.

In order to predict deposition rates for a given reactor setup and therefore to optimize process parameters and reactor geometry, adequate growth rate models reflecting real conditions are needed.

However, the description of the silicon growth process in CVD is a complex issue where gas transport phenomena have to be coupled to chemical reactions on the substrate surface and in the gas phase. A general model describing the entire deposition process involves the solution of many coupled partial differential equations. Because of the large complexity of the problem many authors restrict themselves to a simplified modeling of specific operating regimes e.g. by neglecting gas flow dynamics. The development of improved models and simulation tools is still a current topic of research [45], [46], [47].

3.2.1 Transport

The transport phenomena in fluid dynamics are generally described by simultaneously solving the basic equations for conservation of total mass, momentum, energy and chemical species in three dimensions with the process gas assumed to obey the ideal gas law and further assuming adequate boundary conditions [48], [49]. Neglecting all chemical reactions and temperature fields the transport- problem can be numerically solved for different reactor geometry. Taking into account radiation transport and temperature fields the problem is getting more complex due to the temperature dependence of most physical parameters (e.g. heat capacity, thermal diffusivity, gas density, viscosity etc.) determining the mass transport. The main effect on transport phenomena when chemical reactions are included is the local change in gas composition and therefore the change in all other parameters depending on this variable. Transport and reaction kinetics influence each other and a closed solution of the entire deposition problem can only be obtained if both phenomena are accounted for.

For a more qualitative description of gas flow dynamics and to allow for an easy determination of the relevance of different transport phenomena without computational methods, dimensionless numbers (e.g. Reynolds, Damkoler, Peclet, Grashof numbers) have been introduced [50]. These numbers can be calculated from reactor geometry, gas phase composition and properties and depending on their value, conclusions about the gas transport behavior in the CVD reactor can be drawn.

3.2.2 Thermal equilibrium conditions

Silicon CVD is traditionally based on the deposition from process gases composed of a silicon precursor and hydrogen carrier gas. In thermodynamic equilibrium the partial pressures of all species present in a gas mixture can be calculated using the Law of Mass Action. Considering e.g. a chemical reaction of two species A and B reacting to species C and D:

(20)

dD cC bB

aA+ ↔ + (3.1)

where the lower letters denote the stoichiometry constants. If the system is in equilibrium at a given temperature and pressure, then the value of

b a

d c

B A

D T C

K [ ] [ ] ] [ ] ) [

( = (3.2)

is constant. The values in square brackets correspond to the concentration of each specie and K denotes the equilibrium constant. Assuming an ideal gas the concentrations can be replaced by the corresponding partial pressure of each gas component. Calculation of the standard free energy change ∆G0 allows to predict whether a reaction will occur or not:

K RT G0 =− ln

(3.3)

where R and T denote the gas-constant7 and temperature respectively. The free energy change can be obtained from the standard state Gibbs free energies of formation which are listed in the JANAF tables [51]. For ∆G0<0 the reaction under consideration occurs spontaneously, while in thermal equilibrium the change in standard free energy is zero. For a system containing several gas species the equilibrium composition can therefore be determined as a function of temperature if all possible chemical reactions and their equilibrium constants or Gibbs free energy changes are known. To calculate the partial pressures the free energy of the system has to be minimized [52].

The gas phase composition of a Si-H-Cl-system under atmospheric pressure was calculated at thermodynamic equilibrium using a software package [53] which refers to the JANAF tables. In Figure 3.1 the results are shown for two different Cl/H-ratios8 with temperatures varied between 700°C and 1300°C.

In commercial APCVD reactors Cl/H-ratios below 0.1 are typically applied, represented by the graph on the left-hand-side in Figure 3.1. In Figure 3.1 (right) the process conditions are set according to the standard epitaxy process used for the RTCVD100 reactor built at Fraunhofer ISE (see section 3.4.2).

Considering the gas phase composition at low temperatures, SiHCl3 and SiCl4 dominate the gas composition for both process conditions. At high temperatures HCl and SiCl2 are the most abundant species. For low Cl/H-ratios and temperatures above 1100°C SiCl2 is clearly the dominating silicon containing compound whereas different silicon chlorides with similar mole fractions are present for high Cl/H-ratios at elevated temperatures.

CVD does not occur at thermal equilibrium conditions and therefore the presented calculations do not represent the actual conditions in the CVD reactor. Nonetheless, some general information on possible effects of temperature on the gas phase composition can be drawn from these calculations.

7 Universal gas constant R=8.315 Jmol-1K-1.

8 The Cl/H-ratio denotes the ratio of chlorine to hydrogen atoms in the gas phase. This ratio is constant throughout the deposition process since neither chlorine nor hydrogen are consumed or produced. Growth- related phenomena often do not depend on gas flow rates but on the gas phase composition and the specification of the Cl/H-ratio to characterize the deposition conditions is more convenient.

(21)

3.2 Deposition principle of silicon by thermal CVD 15

800 900 1000 1100 1200 10-5

10-4 10-3 10-2 10-1 100

Cl/H = 0.045

HCl

SiCl3

SiCl4 SiHCl3 SiCl2

SiH3Cl SiH2Cl2

H2

Mole fraction

Temperature [°C]

800 900 1000 1100 1200 10-5

10-4 10-3 10-2 10-1 100

Cl/H = 0.43 SiH3Cl

SiH2Cl2 SiCl4

SiHCl3

SiCl3

SiCl2

H2

HCl

Mole fraction

Temperature [°C]

Figure 3.1: Equilibrium gas phase composition for a Si-H-Cl-system as a function of temperature for a total pressure of 1 atm calculated for different Cl/H ratios.

3.2.3 Reaction kinetics

Figure 3.2 shows a simplified schematic model for silicon deposition from the gas phase. First, the precursor has to be transported from the main gas stream to the wafer surface (1). On the substrate surface, the precursor is adsorbed (2) and decomposed into a silicon adatom and reaction byproducts.

While the silicon atom migrates on the substrate surface and is finally incorporated into the silicon crystal on an energetically favorable site (3), the byproducts are desorbed from the substrate surface (4). In addition to the deposition process, reactions in the gas phase can occur leading to particle generation, or the adsorbed silicon precursor molecule may be desorbed from the surface without being decomposed. Using chlorine containing silicon precursor gases, silicon etching by HCl is an important chemical side reaction. Hydrogen acts as a catalyst for the chemical decomposition process.

The growth rate is determined by the supply of reactants and the velocity of the chemical reactions leading to the decomposition of the silicon precursor on the substrate surface. As already pointed out, both parameters depend on each other. Nonetheless some general statements on the temperature dependence of the growth rate can be made.

(22)

Main gas stream

Si Si Si

Si

Byproducts 1

2

4 3

Sample surface

Figure 3.2: Simplified schematic of silicon deposition from a gaseous silicon source.

Growth rate dependence on temperature

For thermally activated reactions, the reaction rate mostly increases with rising temperature and the dependence of the reaction rate constant from temperature can be described by an Arrhenius function (assuming constant reactant concentrations and pressure) [37]:

) exp( k T A E

k

B

A

= (3.4)

Where k, A, EA, kB and T denote the reaction rate constant, collisional frequency, activation energy, Botzmann’s constant and temperature.

An Arrhenius plot based on experimental values can give information on the activation energy and on changes in reaction mechanisms determining the reaction rate. Figure 3.3 shows a typical Arrhenius plot for CVD at constant pressure.

1/T surface reaction limited

mass transport limited

Slope= -EA/kB

ln (growth rate)

Figure 3.3: Arrhenius plot for silicon CVD.

(23)

3.2 Deposition principle of silicon by thermal CVD 17

Two growth regimes are apparent: at low temperatures (surface reaction limited or kinetically controlled regime), the reaction rate is limited by chemical kinetics and small deviations in temperature result in large changes in growth rate. The slope of the Arrhenius curve gives the activation energy of the dominating chemical reaction. Within this growth regime, the deposition of uniform films requires a highly uniform temperature distribution in the reactor. At higher temperatures (mass transport limited or diffusion-controlled regime), the reaction rate shows only a weak dependence on temperature and small deviations in temperature have only little effect on the growth rate. The high temperature enables fast chemical reactions while the reactant supply is constrained by the feed rate, the transport from main gas stream to reaction site or desorption rate of byproducts. In this case, a homogeneous gas distribution is necessary to grow films of high uniformity.

Modeling of silicon growth rate in a SiHCl3-H2-system

Apart from temperature, the gas phase composition (or silicon precursor partial pressure) and the total pressure also influence the deposition rate. In [48] a theoretical growth model is presented and experimentally verified for a silicon deposition process based on the decomposition of TCS in a horizontal atmospheric pressure reactor at high temperatures. Because of the close relation to the CVD process used within this work, the approach by Habuka is discussed in this section to illustrate the dependence of growth rate on temperature and gas phase composition.

In [48] a 3-dimensional growth model is applied, including transport phenomena as well as chemical surface reactions. The transport equations are solved using the simulation program FLUENT. The chemical reaction leading to silicon deposition is assumed as a two-step reaction. SiHCl3 molecules impinging on the substrate surface are chemisorbed to yield SiCl2 and HCl. While the SiCl2 is adsorbed on the substrate surface (denoted by the asterisk) the HCl is released into the gas phase:

↑ +

SiCl HCl

SiHCl3 2* (3.5)

Upon adsorption, the SiCl2 is decomposed by hydrogen to yield solid Si, which is incorporated into the crystal, and gaseous HCl according to the following equation:

↑ +

+H Si HCl

SiCl2* 2 solid 2 (3.6)

In a simplified form the overall chemical reaction can be described by:

HCl Si

H

SiHCl3 + 2 → +3 (3.7)

In addition, an etching process of solid silicon by HCl and desorption of SiCl2 from the surface is assumed to occur but not taken into account for growth rate modeling. Gas phase reactions are also ignored. The reaction rate for process (3.5) is assumed to depend on the concentration of TCS at the reaction site and the amount of free surface sites available for an occupation by SiCl2:

] )[

1

( SiHCl3 k

Vad = ad −Θ (3.8)

Vad, kad, Θ and [SiHCl3] denote the mole chemisorption rate, rate constant for chemisorption, fraction of occupied reaction sites and TCS-concentration respectively. Similarly, the reaction rate for process (3.6) depends on the hydrogen concentration and the amount of surface sites occupied by SiCl2:

] [H2 k

V = rΘ (3.9)

(24)

where V is the mole growth rate and kr is the rate constant for the decomposition process. Both reaction rate constants are assumed to depend on temperature through an Arrhenius function.

In a steady state, the change in free surface sites is equal to zero and the fraction of occupied surface sites can be expressed as a function of TCS and hydrogen concentration and the reaction rate constants kad and kr. Combined with eqn. (3.9) an expression for the growth rate can be deduced:

] ][

[ ] ][

][ [ ]

[ 3 2 SiHCl3 H2 k SiHCl3 H2 H

k SiHCl k

k V k

r ad

ad

r =

= + (3.10)

In this equation, k denotes the reaction rate constant of the overall reaction leading to silicon deposition. From experimental values, the overall rate constant k and subsequently the reaction rate constants kad and kr are derived.

Figure 3.4 illustrates the effect of temperature and Cl/H-ratio on the growth rate, calculated according to eqn. (3.10).

0.05 0.10 0.15 0.20

0 2 4 6 8

1050°C 1000°C 1100°C 1150°C

Growth rate [µm/min]

Cl/H-ratio

Figure 3.4: Growth rate depending on temperature and Cl/H-ratio calculated according to eqn. (3.10).

Depending on the Cl/H-ratio the growth rate features three regimes. At Cl/H-ratios below 0.5% the growth rate increases strongly with rising Cl/H-ratio. Small changes in gas-composition result in large changes in growth rate. With further increase of the Cl/H-ratio a saturation is reached, where the growth rate changes only little with Cl/H-ratio and finally the growth rate even decreases with increasing Cl/H-ratio. In this regime the growth rate is comparatively insensitive to the Cl/H-ratio.

Operation at high temperatures enables the chemical reactions of adsorption and decomposition to occur very fast. The overall reaction rate for silicon deposition is high and for low Cl/H-ratios the growth rate is limited by the chemisorption process. Providing a larger concentration of TCS consequently results in an increase in growth rate. However, if the Cl/H-ratio exceeds a certain value, the growth rate starts do decrease again. In this regime the reaction rate is limited by the decomposition process: sufficient silicon precursor gas is provided to enable large adsorption and

(25)

3.2 Deposition principle of silicon by thermal CVD 19

growth rates but the chemical decomposition of the adsorbed species is hindered by the lack of hydrogen.

The validity of the presented model was proved by Habuka in a wide temperature range of 800- 1120°C and gas-compositions with molecular weights between 2.7x10-3 and 11x10-3 kg/mol, covering the operation regimes typically used for industrial APCVD processes.

In [54] a similar model is presented to describe the growth rate in a horizontal single-wafer reactor with TCS as precursor gas. The predicted growth rates were also successfully verified by experiments.

3.2.4 Chemical yield

The chemical yield denotes the conversion efficiency of silicon contained in the initial gas phase into solid silicon. Comparing the initial Si/Cl-ratio to the final Si/Cl-ratio at deposition temperature under thermal equilibrium gives information about the amount of TCS which is theoretically consumed in reactions leading to silicon deposition. Using TCS as precursor gas the initial Si/Cl-ratio is 0.33. If the final Si/Cl-ratio exceeds this value, etching of silicon dominated the process instead of deposition. For Si/Cl-ratios lower than 0.33 silicon deposition has occurred. The final Si/Cl-ratio depends on process temperature and initial gas composition i.e. Cl/H-ratio.

The chemical yield can be defined by initial and final Si/Cl-ratio according to

i f

Si Si Cl

Cl Si

) / (

) / 1−(

η

= (3.11)

Assuming thermal equilibrium, the silicon conversion efficiency can be calculated from the partial pressures of silicon and chlorine containing species as a function of temperature and Cl/H-ratio. In [40] the effect of temperature and Cl/H-ratio on the chemical yield has been evaluated by means of thermal equilibrium calculations. The results are depicted in Figure 3.5.

0.65 0.70 0.75 0.80 0.85

30 40 50 60 70 80 90

0.08 0.03 0.06 Cl/H

0.04 0.01 0.001

0.1 0.005

ηSi [%]

103/T [°K]

1300 1200 1100 1000 900

T [°C]

0.00 0.02 0.04 0.06 0.08 0.10 30

40 50 60 70 80

90 T= 900 °C

T=1000 °C T=1100 °C T=1150 °C T=1200 °C T=1250 °C T=1300 °C T=1300°C

T=900°C ηSi [%]

Cl/H

Figure 3.5: Dependence of chemical yield on temperature and Cl/H-ratio in thermal equilibrium [40].

The Cl/H-ratio determines the maximum conversion efficiency, which can be reached. The lower the Cl/H-ratio the larger is the chemical yield at a given temperature. With increasing temperature the

(26)

conversion efficiency rises due to the enhanced reactivity. The largest conversion efficiencies can be achieved at high process temperatures and low Cl/H-ratios.

3.3 Reactor design for APCVD

Within this work a thermal APCVD reactor constructed and built at Fraunhofer ISE has been used for silicon deposition. Before describing the deposition systems at Fraunhofer ISE in more detail an overview on the most common commercial APCVD system is given in this section. An extensive description of reactor configurations and CVD equipment is published e.g. in [55] and [56].

In the early sixties, the first stages of silicon deposition technology, vertical (a) and horizontal (b) reactors were widely used. In a horizontal reactor the samples are mounted on a horizontal susceptor and loaded into a quartz tube. The process gas enters on one side of the reactor tube and exits from the other side. Upon passing the heated sample surface, deposition occurs from the silicon containing gas phase. The susceptor is slightly tilted to reduce gas depletion effects. In a vertical setup the gas inlet is located at the top with the gas flowing downwards.

Nowadays barrel reactors (c) are the workhorses for silicon epitaxy in microelectronic production. The SiC-coated graphite susceptor used in a barrel reactor has a shape similar to a truncated frustum of a 5- or 6-sided pyramid. The samples are tilted to an angle of 2°-3° from the vertical to reduce particle impinging on the surface and to compensate for depletion effects. A quartz bell surrounds the barrel and banks of halogen lamps are used for heating. The process gas is injected in the top part of the reactor and upon flowing downwards, deposition occurs. A slow rotation of the barrel increases thickness uniformity.

A flat, rotating susceptor is used in the pancake reactor (d). This reactor configuration is known to suffer from inhomogeneities in the gas extraction system and their susceptibility to particle contamination. Pancake reactors are mainly used for small diameter wafers.

Figure 3.6: APCVD deposition reactors [37].

(27)

3.4 APCVD at Fraunhofer ISE 21

3.4 APCVD at Fraunhofer ISE

At Fraunhofer ISE research activities on crystalline silicon thin-film solar cells have been a major subject since years. The demand for a silicon deposition system adapted to the needs of silicon thin- film solar cell processing and production led to the development and construction of new CVD- systems different from commercial tools. The RTCVD100 reactor was the first apparatus built in this context at Fraunhofer ISE. After years of reliable operation a more sophisticated system was set up, the RTCVD160. The newest stage of development is represented by a continuous system (ConCVD) which was set up in autumn 2002.

3.4.1 Reactor design

The development of a silicon deposition reactor ready to meet the demands for a future integration in silicon thin-film solar cell production was the basic motivation for the research activities started at Fraunhofer ISE in this area. The criteria the new deposition reactor had to fulfill can be summarized as follows:

• high throughput (5-10 m2/h)

• high growth rates (≥5 µm/min)

• simple setup with little technological effort

• processing of rectangular or square wafers

• sufficient layer quality (diffusion length in epitaxial layers exceeding 2-3 times layer thickness)

• controllable doping profiles

• high chemical yield (>30%).

From all deposition methods presented in section 3.1 APCVD at high temperatures is the method capable to meet most of these demands. In microelectronic industry CVD is the key technology which is commonly used for silicon epitaxial deposition. In this area, excellent crystallographic quality, thickness and doping uniformity in the 2% range and high purity are absolutely necessary [54]. Batch- type systems (barrel reactors) or single-wafer reactors are traditionally used and operation at high gas flow rates enables to achieve the required thickness and doping homogeneity, however at the expense of low chemical yield and therefore at high cost per m2. Regarding the total cost for the entire processed microelectronic device, silicon deposition makes up only a small fraction even if cost- intensive deposition techniques are used. From this point of view, the development of high- throughput, low-cost silicon deposition reactors is of no interest. In contrast to that, the silicon deposition process constitutes a large fraction of the final cost for a silicon thin-film solar cell making the development of a cost-effective silicon deposition reactor an important subject of research.

Out of these preliminary settings the first CVD system was developed and built at Fraunhofer ISE which was expected to be capable to fulfill most of the imposed requirements.

At present three CVD systems are set up at Fraunhofer ISE representing the progress made in the development on these reactors within the past five years. The deposition principle is based on thermal CVD with trichlorosilane as precursor and hydrogen as carrier gas. In contrast to today’s commercial systems, the design of the first ISE CVD-reactor is more comparable to the early horizontal reactors where a horizontal carrier loaded with wafers is introduced into a quartz tube. An outstanding feature

Referenzen

ÄHNLICHE DOKUMENTE

Step 1: Replace functional elements by cheaper and/or better nano-approaches Step 2: Add new components by nano-technology. Step 3: Complete

In particular we tried to modify the shape of the pyramidal surface features to investigate the influence of shape on light incoupling and scattering in a-Si

This dependence correlates with the linear increase of the optical band gap and the linear decrease of the effective lifetime τ eff (see Fig. The diminishment of τ eff can

ABSTRACT: The purpose of this work is to develop a high rate sputtering process for the deposition of silicon nitride antireflection coatings for crystalline silicon solar cells..

Mertens, Concept of Porous Silicon Reflector in Thin-Film Epitaxial Solar Cells , in Proceedings of the 22nd European Photovoltaic Solar Energy Conference , Milan, Italy (2007)

Breakdown of type II, being related to recombination active defects, is found in all mc-Si solar cells. The local as well as the global breakdown voltage of this type depend strongly

Figure 5.11: Influence of gas flow ratio and plasma power on the refractive index of SiC x as deposited (top) and after firing (bottom) by low-frequency PECVD on p-type Cz wafers

It has been shown, that the aluminum-induced layer ex- change process provides large grained (&gt; 10 µm) polycry- stalline silicon layers within short process times (&lt; 2 h) at