• Keine Ergebnisse gefunden

Rapid Thermal Processing of Crystalline Silicon Materials and Solar Cells

N/A
N/A
Protected

Academic year: 2022

Aktie "Rapid Thermal Processing of Crystalline Silicon Materials and Solar Cells"

Copied!
186
0
0

Wird geladen.... (Jetzt Volltext ansehen)

Volltext

(1)

Rapid Thermal Processing of

Crystalline Silicon Materials and Solar Cells

Dissertation

zur Erlangung des akademischen Grades des Doktors der Naturwissenschaften

(Dr. rer. nat.)

an der Universität Konstanz Fachbereich Physik

vorgelegt von

Stefan Peters

Tag der mündlichen Prüfung: 6. Februar 2004

1. Referent: Priv. Doz. Dr. Gerhard Willeke 2. Referent: Prof. Dr. Wolfram Wettling

(2)
(3)

Contents

Introduction 1

1 Rapid Thermal Processing (RTP) 5

1.1 Overview and motivation . . . 5

1.2 Physical background of optical processing . . . 7

1.2.1 Properties of the photon spectrum . . . 7

1.2.2 Optical properties of silicon . . . 8

1.3 Technological aspects . . . 9

1.3.1 The single-wafer RTP reactor . . . 9

1.3.2 The in-line RTP furnace . . . 10

2 On the photon-enhanced diffusion of phosphorus in silicon 15 2.1 Introduction and literature survey . . . 15

2.2 Experimental details and preliminary investigations . . . 18

2.2.1 Design of the UV-RTP reactor . . . 18

2.2.2 Establishing open-loop processing for P diffusion . . . 20

2.2.3 Difficulties associated with temperature measurements in RTP . . . 22

2.3 Goal and design of the experiments . . . 25

2.4 Results and discussion . . . 29

2.4.1 Spin-on dopants . . . 29

2.4.2 APCVD SiO2:P . . . 32

2.4.3 CFP POCl pre-diffusion . . . 34

2.5 Summary and conclusions . . . 36

3 Formation and characterization of layers for silicon solar cell applications 39 3.1 Rapid thermal diffusion of P emitter . . . 39

3.1.1 Introduction . . . 39

3.1.2 Process for the formation of P emitter . . . 40

3.1.3 Emitter sheet resistance . . . 42 i

(4)

3.1.4 Emitter profiles . . . 46

3.1.5 Emitter saturation current . . . 50

3.1.6 Short wavelength quantum efficiency . . . 53

3.1.7 Rapid in-line diffusion of P emitters . . . 55

3.1.8 Contacting shallow RTD emitters . . . 59

3.1.9 Summary . . . 61

3.2 Rapid thermal alloying of Al back surface fields . . . 62

3.2.1 Introduction . . . 62

3.2.2 Deposition of Al and processes for alloying . . . 64

3.2.3 High-efficiency solar cells with RTP-alloyed Al-BSF . . . 65

3.2.4 Determination of and reflection of light at the SiAl interface . . . 69

3.2.5 Summary . . . 71

3.3 Rapid thermal oxidation of p-type silicon surfaces . . . 72

3.3.1 Introduction . . . 72

3.3.2 Oxide thickness and passivation properties on p-type Si . . . 73

3.3.3 High-efficiency solar cells with RTO back surface passivation . . . 77

3.3.4 Summary . . . 82

4 Silicon materials and their compatibility with RTP 83 4.1 Introduction . . . 83

4.2 Float-zone . . . 85

4.2.1 Bulk carrier lifetime after RTD and RTO . . . 85

4.3 Czochralski . . . 87

4.3.1 Introduction . . . 87

4.3.2 Carrier lifetime after RTD P diffusion . . . 87

4.3.3 Laboratory solar cells . . . 88

4.3.4 Rapid in-line diffused solar cells with screen-printed Ag contacts . . . 91

4.3.5 Conclusions . . . 93

4.4 Block-cast multicrystalline . . . 95

4.4.1 Introduction . . . 95

4.4.2 RTP P diffused solar cells from the bottom, middle and top region of an ingot . . . 96

4.4.3 Bulk lifetime response to RTD P diffusion gettering . . . 101

4.4.4 Correlation of the RTD conditions and the recombination strength of dislocations . . . 107

4.4.5 Summary and Conclusions . . . 109

4.5 Ribbon Growth on Substrate (RGS) . . . 111

(5)

4.5.1 Introduction . . . 111

4.5.2 Comparison of RTP and CFP P-Al co-diffused solar cells . . . 113

4.5.3 Solar cell analysis . . . 116

4.5.4 Summary and conclusions . . . 125

4.6 Edge-defined Film-fed Growth (EFG) . . . 127

4.6.1 Introduction . . . 127

4.6.2 Comparison of RTP and CFP P-Al co-diffused solar cells from former and state-of-the-art EFG . . . 128

4.6.3 RTP and CFP P-Al co-diffused solar cells from neighboring wafers . . 134

4.6.4 Improved RTP solar cell sequence for high efficiency solar cells . . . . 137

4.6.5 Comparison of RTP and CFP P diffusion gettering . . . 140

4.6.6 Implementation of single-wafer RTD into the industrial process sequence of EFG solar cells . . . 142

4.6.7 Implementation of in-line RTD into the industrial process sequence of EFG solar cells . . . 145

4.6.8 Summary and conclusions . . . 146

Summary and Conclusions 149

List of constants, symbols and acronyms 153

Bibliography 156

Publications and presentations 171

Acknowledgement 175

Zusammenfassung 177

(6)
(7)

Introduction

The amount of solar energy striking the earth every minute is higher than what is consumed by the entire world in one year. Photovoltaics (PV), a technology that directly converts sunlight into electricity by means of solar cells, is a promising candidate to satisfy the worlds growing energy demand. PV systems are attractive because they are environmentally benign, reliable, virtually maintenance-free and modular. Despite these advantages, the share of PV in the worldwide energy production is still negligible due to its comparatively high cost. The most efficient way to bring down the cost of PV is to move from manual small volume manufacturing to fully automated mass-production. For crystalline silicon solar cell technology this implies improvements at different levels: production of solar-grade material, Si wafering, wafer pro- cessing to solar cells, and module assembly. The wafer processing part, in which the wafers are turned into solar cells, is a critical one because the gains in efficiency translate linearly into the additional PV energy output. In modern solar cell production facilities, one cell per second should leave the production line with optimized electrical parameters.

This work is motivated by the desire to bring PV one step closer to cost-effective commercialization. It focuses on rapid thermal processing (RTP) and its use for the fabrication of crystalline silicon solar cells. RTP, which utilizes banks of tungsten halogen lamps to quickly heat semiconductor wafers, holds the promise of replacing lengthy conventional furnace pro- cessing (CFP) in resistively heated quartz tubes. Often, the wafer throughput is limited by high-temperature CFP processes such as the diffusion of phosphorus emitters or the growth of silicon oxide layers. RTP drastically decreases the time required to perform semiconductor processes. For example, a phosphorus emitter diffusion by CFP typically requires 15 minutes to 1 hour, whereas this time drops down in the range of a few seconds to one minute by using RTP.

In the microelectronics industry RTP is well established. It is commonly used for the fabrication of high-quality layers. For example, the growth of ultra-thin gate oxides as well as the activation anneal of ion-implants is usually performed by RTP. Within the area of crystalline silicon solar cells, RTP so far has been mainly used for the diffusion of P emitters [182, 58, 143, 65], for the growth of surface passivating oxides [27, 125] and tunnel oxides [6], for the alloying of Al layers for back surface field formation [54, 121], and for the firing of Ag front contacts [68, 79, 190].

However, although high-quality layers and solar cell efficiencies up to 19.1 % [144] could be demonstrated, RTP has not been implemented into solar cell production lines so far. One reason is probably that the potential of RTP was overestimated. There are also drawbacks

1

(8)

associated with RTP. It is a goal of this thesis to clearly show for which purpose RTP is a serious alternative to CFP and for which application it should better be skipped. Another reason is that the RTP machines currently commercially available are designed to meet the requirements of the microelectronics industry and not those of the PV industry. In particular, only single-wafer RTP machines are available which can hardly meet the throughput of CFP batch furnaces. Therefore, one major task of this work was to develop and built a high-capacity in-line RTP furnace and to demonstrate its suitability for PV applications.

Besides its relevance for PV, RTP is a wonderful tool from the viewpoint of research because it offers an unprecedented flexibility in the design of thermal cycles including rapid changes of the ambient atmosphere. RTP enables the study of almost any conceivable thermal cycle, especially the rapid ones which cannot be studied with CFP equipment. This is particularly important because it offers new possibilities for studying, for instance, the gettering of impurities in non-ideal materials, such as ribbon or cast multicrystalline silicon.

In addition to the high-throughput potential and to the flexibility of the thermal cycles, RTP processing units feature a remarkable property: there is no other process tool where the same set-up can be used for so many different semiconductor processes. In this work we fully exploit this potential by performing all possible high-temperature steps in solar cell fabrication in the same system.

Thesis outline

The thesis starts with an overview of RTP and of the underlying physics of optical processing of crystalline silicon wafers. The advantages of RTP in the production of silicon solar cells are mentioned and compared to the characteristics of processing in conventional quartz tube furnaces. We present the different pieces of RTP equipment used in this work including the new in-line RTP furnace featuring the novel walking string wafer transportation system.

A frequently asked question about RTP is: why is RTP so fast compared to CFP? Several authors proposed an enhanced diffusion of P in Si under illumination with visible and UV photons present exclusively in RTP. In chapter 2, we try to verify this assumption by using UV photons from excimer UV lamps and visible photons from tungsten halogen lamps. A specially designed RTP reactor is used for these investigations. Several P sources of different chemical composition are tested according to their response to diffusion with and without high- energy photon irradiation. Much effort is invested to avoid all problems concerning temperature measurements in RTP units in order to clearly distinguish between thermal and non-thermal effects.

Chapter 3 presents a systematic study of the RTP formation of different types of layers for crystalline silicon solar cells. In the first section. we investigate the rapid thermal diffusion (RTD) of phosphorus from spin-on sources with different concentrations for emitter formation.

The emitter sheet resistance is studied as a function of plateau time and plateau temperature of a standard process. The emitters are characterized with respect to P and electron profiles, dark saturation current and quantum conversion efficiency. The emitter surface is passivated by growth of thin rapid thermal oxides (RTO). The new in-line RTP furnace is used for the diffusion

(9)

of extremely shallow P emitters. Additionally, we assess whether shallow RTD emitters can be contacted by industrially relevant screen-printing of Ag pastes and firing. In the second section, p-type back surface fields are formed by rapid thermal alloying of evaporated and screen-printed aluminium layers. They are characterized with respect to surface passivation and internal reflection of light. A high-efficiency RTP process sequence consisting of emitter diffusion, oxide front surface passivation and BSF formation from screen-printed Al is developed. The final section deals with RTO for the passivation of p-type surfaces. We demonstrate that there are fundamental differences in the carrier injection level dependency of the Al-BSF and of the thermal oxide surface passivation.

Silicon materials developed for PV applications have to be compatible with the RTP processes yielding the described layers. In particular, the minority carrier lifetime of such materials has to be preserved because a degradation after processing would result in lower device efficiency. In chapter 4, various standard Si materials are tested for their compatibility with the RTP processes developed in this work. High heating and cooling rates of 100 K/s were used for all the experiments described in this chapter and eventually RTP is assessed under this boundary condition. Unlike other authors we applied no time-consuming in-situ annealing steps as this would contradict the idea of RTP. First we investigate whether the high carrier lifetime of monocrystalline Si materials like float-zone (FZ) and Czochralski (Cz) is preserved in the case of RTD emitter formation and RTO surface passivation. We investigate what is the maximum tolerable process temperature above which degradation of the carrier lifetime occurs. Afterwards, different types of multicrystalline Si materials are tested with respect to their response to RTP P diffusion and P-Al co-diffusion: block-cast multicrystalline-Si (mc- Si) made by Deutsche Solar, Ribbon Growth on Substrate (RGS) made by Bayer AG and Edge-defined Film-fed Growth (EFG) provided by RWE SCHOTT Solar. For all types of Si materials, solar cells are prepared using the RTP layers which have been characterized in the previous chapter. For most multicrystalline materials, an improvement of the carrier lifetime during processes such as P emitter diffusion is required, because the initial lifetime is too low to achieve high cell efficiency. We clearly work out which material is compatible with RTP and which material suffers from lifetime degradation or incomplete gettering. Remarkably, some materials in fact benefit from replacing CFP with RTP processes.

(10)
(11)

Chapter 1

Rapid Thermal Processing (RTP)

This chapter gives a brief overview on RTP and the underlying physics of optical process- ing of crystalline silicon wafers. The possible advantages of RTP in the production of silicon solar cells are mentioned and compared to the characteristics of processing in conventional quartz tube furnaces. We present the RTP equipment used in this work including the currently developed in-line RTP furnace with the novel walking string transportation system which has been designed to meet the requirements of a high-throuhgput solar cell production.

1.1 Overview and motivation

Rapid thermal processing (RTP) has emerged as a key manufacturing technique for the semi- conductor device fabrication. For many applications it is replacing conventional furnace pro- cessing (CFP) in hot quartz tubes. For example, RTP is widely used for the formation of ultra- thin gate dielectrics, for the activation of ion-implanted dopants and for the formation of metal silicides [163, 32, 181, 102].

The most general definition of RTP is a tool enabling rapid thermal cycles which cannot be performed with conventional quartz tube furnaces. In particular, conventional furnace process- ing restricts the maximum heating and cooling rates to several K/min and the minimum process time to several minutes. These restrictions are imposed by the high thermal mass of the system as well as the way the energy is transferred to the wafers. For example, when large batches of wafers (20-200 pieces) are loaded into a tube furnace, the boat with the wafers has to be pushed in at slow speed (10-50 cm/min) because otherwise wafer breakage occurs due to stress. The stress is caused by inhomogeneous heating of the wafers from the edge to the centre.

In contrast, RTP offers the possibility to apply heating and cooling rates up to several hundred K/s and to conduct processes in the range of 10-8 to 101 s [163]. This can be achieved because each wafer is heated individually and uniformly. Naturally, RTP tools have to make use of a fast method of transferring energy to and away from the wafer. Hence, energy sources based on radiation are used: lasers, electron and ion beams, and incoherent light from arc and tungsten halogen lamps [146].

5

(12)

Considering single-sided irradiation, the temperature in the middle of a wafer with thickness can be calculated according to [117]

2 0erfc

4 , (1.1)

where 0 is the temperature at the wafer surface, is the elapsed time, and is the thermal diffusity of the wafer material. The expression = 2 4 can be considered as the thermal response time for the vertical balance of temperature. For silicon with = 1.2 10-5 m2s-1 at 1300 K [189] and = 500 m we obtain a thermal response time of approximately 5 ms. Compared to the typically used process times of several seconds, this short response time ensures the wafer to be isothermal in vertical direction. For this reason, such thermal processes sometimes have been denoted as Rapid Isothermal Processing (RIP) [163]. In the case of process times much smaller than the thermal response time, e.g. like in the case of pulsed lasers, one speaks of adiabatic annealing since only the surface near region gets heated whereas the wafer bulk remains cold [146].

It is worth mentioning that the use of incoherent light as a source of energy gives rise to uniform lateral and transverse temperature profiles. In this case, isothermal processing prevails.

In the literature, RTP is commonly used as a synonym of rapid isothermal processing making use of incoherent light. Furthermore, like the RTP equipment used in this work, most of the currently distributed RTP machines make use of tungsten halogen lamps (THL) as a source of energy. In the following we focus on this sort of optical processing.

Tab. 1.1:Technology comparison between RTP and CFP.

CFP RTP

Batch Single wafer

Hot wall Cold wall

Large chamber volume Small chamber volume

Long process time Short process time

Small d /d Large d /d

Temperature measurement Temperature measurement

- environment - wafer

Heat transfer Heat transfer

- radiation, conduction and convection - radiation

A technological comparison between CFP and RTP is shown in Tab. 1.1. With respect to PV applications we can deduce the following advantages of RTP over CFP:

The short process times might make it possible to achieve higher wafer throughput.

Of course, to fully benefit from this fact, in-line or large area RTP equipment (see Sec. 1.3.2) has to be developed instead of the currently commercially available single- wafer machines designed for the microelectronics industry.

(13)

Since the wafer is not in thermal equilibrium with its surrounding (cold walls), particle cross contamination and thus carrier lifetime degradation might be reduced (see Sec. 4.2).

The small thermal budget (commonly defined as the area under the time-temperature curve) helps suppress unwanted parasitic processes which could, for instance, take place during wafer heating and cooling (see section 4.5).

Possibly, acceleration of physical and chemical processes due to the irradiation with high energy photons, e.g. enhanced diffusion of phosphorus in silicon (see chapter 2).

Formation of layers with unprecedented physical properties such as extremely shallow and hence transparent phosphorus emitters (see Sec. 3.1.6).

From the viewpoint of research another point is essential:

High flexibility in the design of a thermal cycle including the rapid change of the ambient.

Almost any thermal cycle can be studied with RTP.

This means that RTP allows us to study thermal cycles that cannot be studied with CFP equipment. For instance, as shown in chapter 4, the bulk lifetime of some Si materials actually benefits from the RTP specific extremely high heating and cooling rates and the processing at elevated temperatures for only short times. The goal of this work is to assess RTP with respect to the advantages and also the disadvantages it brings for i) the processing of layers for crystalline silicon solar cells (e.g. emitter, oxide, back surface field) and ii) the evolution of the bulk carrier lifetime of various PV-grade crystalline silicon materials (e.g. Cz, RGS, EFG).

1.2 Physical background of optical processing

1.2.1 Properties of the photon spectrum

Like in this work, most RTP machines use tungsten halogen lamps (THL) as a source of radiative energy. Usually this type of lamp consists of a linear double-ended quartz tube around a tungsten filament that is heated resistively. The quartz transmits the entire spectrum emitted by the filament up to an absorption wavelength of 4-5 m. The quartz envelope is filled with halogen gas to increase the filament´s temperature and lifetime [146]. A THL shows a spectral intensity distribution close to that of a Planck´s body with a color temperature of 2000 to 3000 K depending on the lamp current [146].

All objects at a temperature above absolute zero emit electromagnetic radiation due to thermal motion of atoms and molecules. The spectral radiant distribution is described by Planck´s law [175]

2 2

5

1

1 , (1.2)

(14)

0 1 2 3 4 5 6 7 8 9 10 0,01

0,1 1 10

100 Temperature

2400 K 1171 K

M(λ,T) [W/m2 µm ]

λ [µm]

0 1 2 3 4 5 6 7 8 9 10

0,0 0,2 0,4 0,6 0,8

1,0 Temperature

2400 K 1171 K

M(λ,T) / M(λmax,T)

λ [µm]

Fig. 1.1:Absolute (left) and normalized (right) spectral distribution for THLs with = 2400 K and for the walls of a conventional quartz tube furnace at = 1171 K (900 C).

where is the wavelength, is Boltzmann´s constant, is Planck´s constant, and is the velocity of light. The spectral emissivity equals 1 only in the case of an ideal black body. For a so-called grey body is a constant between 0 and 1, i.e. independent of and .

Fig. 1.1 shows the absolute and the normalized for THLs with a color temper- ature = 2400 K and for the walls of a conventional tube furnace at = 1171 K (900 C).

The THLs emit over a wide wavelength range from 0.4 m up to the cut-off wavelength of the quartz envelope of 4 to 5 m. Normalized to the respective maximum, the comparatively high proportion of short wavelength radiation of the THL compared to the tube furnace becomes apparent. Some authors have claimed that these high energy photons are responsible for the acceleration of various physical and chemical processes during RTP such as an enhanced diffusion of P in Si [124, 167] and an enhanced growth rate of SiO2[90].

1.2.2 Optical properties of silicon

In a tube furnace where convective and conductive heat flow play an important role, the wafer is generally at the same temperature as the surrounding quartz tube, i.e. thermal equlibrium prevails. In contrast, because of the mainly optical character of the energy transfer, in RTP the wafer is not in thermal equilibrium with its surroundings. The most basic requirement of heating a wafer (rapidly) in a RTP reactor is that the silicon wafer has an absorption coefficient

which is non-zero over a great range of the irradiated spectrum.

(15)

The absorption of electromagnetic radiation takes place by three basic mechanisms [101]:

fundamental absorption (band to band transition) absorption by free carriers

absorption by phonons

Because all absorption mechanisms increase with temperature, increases strongly with temperature [76, 179]. Additionally, depends on the wafer doping due to the associated free carrier absorption.

At room temperature, intrinsic and lowly doped silicon wafers ( 1016 cm-3 [101]) with thickness 300 m can be considered transparent for photons with energies below the band gap energy of 1.12 eV ( = 1.1 m) [45]. Photons with higher energy, however, are absorbed and hence heat the wafer. For this reason, when rapid heating from room temperature is desired, the spectrum of the energy source has to feature a significant proportion of high energy photons. As shown in Fig. 1.1, the THLs do fulfill this requirement. In the case of highly doped silicon ( 1018 cm-3 [101]) almost all of the incident radiation is already absorbed at room temperature due to free carrier absorption.

The free carrier concentration increases exponentially with increasing temperature and hence the respective absorption mechanism gains significance. Below 600 to 700 C a silicon wafer behaves as a partially transparent non-grey body. In this case, the emissivity depends strongly on surface roughness, base doping and wafer thickness. For silicon at temperatures exceeding 600 to 700 C all incident radiation is absorbed either by fundamental or by free carrier absorption in a thin region in the immediate vicinity of the wafer surface. Hence, the wafer becomes an opaque grey body with = 0.7 over the entire wavelength range [185], independent of its doping and thickness [180]. It depends only slightly on the surface roughness.

1.3 Technological aspects

1.3.1 The single-wafer RTP reactor

Fig. 1.2 shows a schematic drawing of the single-wafer RTP reactor SHS100 located at the Fraunhofer ISE in Freiburg. If not mentioned otherwise the experiments presented in this work were performed in this system.

The wafer rests on three small quartz pins which in turn are mounted on a quartz tray. The tray is pushed into and pulled out of the quartz chamber manually. The wafer is heated from both sides by tungsten halogen lamps of 1.5 kW electrical power each. The position of the upper lamps is shifted with respect to the lower lamps in a way to yield a homogeneous field of irradiation. The process gas flows into the chamber through the gas inlet at the back where it encounters distribution plates leading to a laminar gas flow. The chamber is surrounded by water-cooled walls. They are coated with a thin gold layer in order to improve the infrared reflectivity.

(16)

pyrometer (λ= 2.7 µm) wafer

door

quartz plates window

gold reflector tungsten halogen lamps water-cooled walls

quartz tray quartz chamber

gas outlet TC socket

gas inlet

Fig. 1.2:Schematic drawing of a cross section through the single-wafer RTP reactor SHS100.

Measurement of the wafer temperature

The wafer temperature can be measured by means of a PbS pyrometer with a working wavelength of 2.7 m. It looks at the wafer through a quartz window and measures the intensity of the light emitted by the wafer. In contrast to the rest of the quartz chamber, the window is not made of hydroxylated quartz and hence transparent for light at the working wavelength of the pyrometer. This arrangement ensures that light from the lamps does not disturb the pyrometer signal.

The pyrometer output has to be calibrated for each type of wafer because the wafer emissivity depends on the wafer doping, thickness, roughness and in particular on the sort of deposited coatings (e.g. spin-on P source, evaporated Al). The pyrometer calibration is per- formed by mounting a thermocouple on a test wafer and heating of this test wafer slowly while the pyrometer output is recorded simultaneously. This procedure yields a calibration curve relating wafer temperature and pyrometer output. Of course, the wafer temperature has to be a monotonous function of the pyrometer output. This in turn implies that the wafer emissivity at the working wavelength of the pyrometer increases monotonously with temperature. It has to be noted that this requirement is not fulfilled for certain coatings (e.g. Al [128]) as they go through a phase transition during heating. In this case pyrometry cannot be used. However, in most cases the wafer is coated only on one side and the uncoated side can be used for the temperature measurement. As silicon becomes opaque between 600 and 700 C, the pyrometer measurement is not influenced by coatings on the opposite wafer side any more.

1.3.2 The in-line RTP furnace

For any state-of-the-art solar cell production line, wafer throughput is a key figure. A short-term goal is to produce 1200 solar cells per hour per line [162]. With the single-wafer RTP systems available today, these requirements are not met unless a large number of systems is used at once.

(17)

Burn-out zone RTP unit Diffusion zone Cooling zone

THL

Cooled isolation Isolation

Mercury lamp Gas inlet

Gas outlet Pyrometer

Wafer on string Reflector Quartz channel

Fig. 1.3:Schematic drawing of a cross section through the in-line RTP furnace. The wafer runs through the furnace from the left to the right.

For this reason, we aimed at designing new RTP furnaces for PV applications. There are two possible ways to achieve high-throughput RTP systems.

One way is to enlarge the process chamber in order to process several wafers simultaneously.

This approach has been pursued within the European FLASH project for example [35]. The system currently being built features a process chamber of 60 60 cm2in size and will enable us to process 25 wafers of the 100 100 mm2 size at once. This can be called the real RTP approach because the system will feature all the characteristics of a single-wafer system.

The second approach is to use an in-line furnace and to reduce the time being spent by the wafer at high temperature. Some people use a resistance or infrared heated metal belt furnace and simply increase the belt speed or reduce the furnace length [169, 46]. For such systems the typical time at high temperature is in the range of 2 to 6 minutes [30, 66]. Of course, from the viewpoint of conventional processes (POCl3 diffusion for instance) such processes might be called fast or even rapid. However, some of the RTP specific advantages like low cross contamination, cold walls, short wavelength irradiation and high heating and cooling rates are not supported by such systems. For this reason we aimed at building a real in-line RTP furnace keeping many advantages of single-wafer RTP.

Description of the in-line RTP system

In collaboration with the company Centrotherm a new in-line RTP system was designed and built. A schematic drawing of the system is shown in Fig. 1.3. The overall furnace length is approximately 5 m. The wafer runs through the furnace on ceramic strings working according to the walking beam principle (see section below). The strings move in a channel formed of

(18)

quartz plates which represent the actual process chamber. The entire chamber and the transport system contain no metal parts that could lead to wafer contamination during processing. In addition, the inside of the channel is kept at slightly higher pressure which helps to avoid cross contamination from outside the chamber. Heating is performed by tungsten halogen lamps (THL) located outside the chamber. The process temperature is monitored and controlled via thermocouples attached to the inner side of the quatz chamber.

The furnace basically consists of four zones. The first zone is designed as a burn-out zone, where organic components of dopant sources deposited on the wafer surface can evaporate and burn. This zone is followed by a specially designed RTP unit featuring all the key characteristics of a single-wafer RTP reactor. For example, its walls are water-cooled and coated with a light reflector. Wafers can be heated up and cooled down homogeneously with very fast and pyrometrically controlled temperature ramps up to 200 K/s. The RTP zone can be regulated independently from all other zones and was implemented in order to have all kinds of freedom in the design of thermal cycles. Mercury UV lamps, mainly emitting high-energy photons with wavelengths between 200 and 320 nm, can be switched on optionally1. Next to the RTP unit, a rather conventionally designed 1.2 m long segment is attached. Within this segment an approximately 1 m long zone of constant temperature forms the plateau of a thermal cycle (e.g. diffusion plateau). The medium isolated walls ensure that the wafer temperature and the temperature of the surroundings do not deviate too much whitin this zone. However, since the THLs typically run at 40 to 60 % of their maximum power, the situation in the chamber is far from thermal equilibrium. In addition, the thermocouples regulating the lamp power are irradiated directly by the lamps. Hence, the actual wafer temperature can deviate significantly from the temperature of the thermocouples. Measurements suggest a deviation by approximately 50 C. Like in the RTP unit, mercury UV lamps are implemented in the diffusion zone as well. The last zone represents a cooling zone featuring well-cooled walls to ensure rapid wafer cooling. Normally, the lights in this zone are switched off.

The walking string drive

The wafers are moved through the furnace by a novel patented drive [7, 8] based on two revolving pairs of strings (see Fig. 1.4). The strings are made of flexible ceramics which can be operated at elevated temperatures up to 1400 C. The strings move as follows to transport the wafer through the furnace. When, for example, the wafer lies on the outer pair, this pair moves about 30 cm in the forward direction. In the meantime, the inner pair moves back to its turning point. Next, the inner pair accelerates in the forward direction and takes up the same speed as the outer pair. Then it lifts vertically up to the same position of the outer pair ensuring that the wafer lies on both pairs of strings for a short moment. Now, the outer pair moves down, reverses its speeds and goes through the same cycle as described for the inner pair. This version of the drive ensures a fully continuous wafer transportation.

1The UV lamps were implemented in order to benefit from the proposed photon-enhanced diffusion of P in Si.

However, as shown in chapter 2, we could not verify the observation of this effect.

(19)

Fig. 1.4: Picture of the walking string transportation system implemented in the novel in-line RTP furnace.

The walking string transportation system offers the following advantages over currently used metal belts:

The low thermal mass of the strings allows us to establish diffusion processes with steep heating ramps and short cooling times because no massive metal belt has to be heated up and cooled down (see 3.1.7).

The strings are made of ceramics and move back and forth only 30 cm which leads to significantly reduced cross-contamination of wafers [9].

Due to its high flexibility, this furnace allows us to apply process schemes already known from single-wafer processing units, but in a high-throughput in-line equipment. The maximum drive speed of currently 1800 mm/min belongs to a diffusion time of approximately 30 s. This enables a maximum throughput of roughly 600 wafers/h of the size 125 125 mm2 with our single-track prototype furnace. A production type version would feature at least four tracks, thus enabling a maximum throughput of 2400 wafer/h. The corresponding rapid diffusion processes and the resulting P emitters are presented and characterized in section 3.1.7. In section 4.3.4 theses P emitters are used for the manufacturing of Cz solar cells and in section 4.6.7 for the manufacturing of solar cells from EFG silicon. We will show that a diffusion time of 18 s is sufficient for the diffusion of screen-printable emitters.

(20)
(21)

Chapter 2

On the photon-enhanced diffusion of phosphorus in silicon

Several authors proposed an enhanced diffusion of P in Si under illumination with visible and UV photons. In this chapter we try to verify this observation using UV photons from excimer UV lamps and visible photons from tungsten halogen lamps. Several P sources of different chemical composition are tested on their response to diffusion with and without illumination.

Much effort is invested to avoid all problems concerning temperature measurements in RTP units. Within the measurement error we f ind no evidence of any photon-enhancement of the diffusion process. In addition, we show that the proposed enhancement might be explained also by erroneous temperature measurements.

2.1 Introduction and literature survey

A frequently asked question about RTP is: why is RTP so fast compared to conventional pro- cessing in a quartz tube furnace (CFP)? Of course, the significantly higher heating and cooling rates used in RTP reduce the overall process time drastically compared to CFP. Also, the plateau temperatures applied in RTP are generally higher than the ones feasible in CFP. This makes it possible to achieve the same kinetic results with shorter plateau times. Additionally, in the case of diffusion of phosphorus in silicon, highly P-doped SiO2 layers (PSG) are deposited on the wafer surface prior to RTP, whereas in CFP formation of the PSG takes place in the furnace by a chemical reaction of POCl3 and O2 with the Si surface. However, especially for the diffusion of phosphorus, several researchers have reported that RTP is faster than CFP, even if heating and cooling times are neglected and identical plateau temperatures and P sources are employed. Quite often, high energy photons from the tungsten halogen lamps (THL), present exclusively in RTP, are suggested as a possible cause of the enhancement. If so, the use of extra light sources emitting short wavelength photons (e.g. UV photons from excimer lamps) could reduce the diffusion temperature significantly. For photovoltaics, this could be advantageous because some of the widely used multicrystalline Si materials have shown to degrade at elevated diffusion temperatures. They might benefit from reducing the diffusion temperature below

15

(22)

some critical temperature (e.g. 900 C) yet maintaining the RTP specific short process times and, possibly, high throughput. In the following a brief literature overview on the observations on the enhanced diffusion is given and, as far as available, on the possible explanations for the acceleration mechanisms.

Hartiti et al. [58] have compared RTP and CFP using a phosphorous spin-on dopant (SOD).

According to their results, 25 s of RTP yields lower sheet resistances than 15 min of CFP at the same temperature. Unfortunately they did not mention whether the 15 min of CFP took place at the plateau temperature or included the time necessary for pushing and pulling the wafers into and out of the furnace, respectively, which would decrease the actual time spent at the plateau temperature significantly.

Doshi [28] has also investigated RTP and CFP diffusion from a P spin-on source under identical temperature/time conditions of 880 C/10 min. The sheet resistance of the RTP sample was only 28 sq compared to 100 sq for the CFP sample. Remarkably, the P profile of the RTP sample was not only deeper than that of the CFP sample but also showed much higher near-surface concentrations. Again, it is not clear whether the 10 min CFP included loading and unloading of the wafers and whether the peak temperature was attained.

Singh and co-workers have reported on the enhanced diffusion of P in Si in many publications (e.g. [164, 109, 165, 166, 186, 168]. The experiments were mainly carried out in an RTP reactor equipped with a bank of tungsten halogen lamps on just one side. Using spin-on and spray-on P sources, the resulting sheet resistance was lower when the wafer surface with the dopant faced the halogen lamps than when it was directed away from them. Singh and co-workers propose that the short wavelength photons ( 800 nm) of the THL spectrum promote quantum photoeffects which cause the enhancement [166]. The claim that because of the use of halogen lamps with a color temperature of 2000 to 3000 K, RTP features a high flux density of visible and UV photons, whereas CFP only includes photons in the infrared range of the light spectrum since furnace and wafer are at the same temperature. Hence, they say, RTP is faster than CFP due to this fundamental difference in the utilized radiation spectrum. Additionally, they claim that extra illumination with UV photons from deuterium or mercury lamps further accelerates the diffusion. According to their understanding, visible and UV photons are a cause of electronic excitation of atoms and molecules. In the electronic exited states there is an increase in bond lengths compared to the ground state which results in a decrease in bond energies and hence enhances diffusion. In contrast, the low energy infrared photons present in CFP only cause rotational and vibrational excitation [166]. It has to be noted that Singh et al. have so far not given any explanation of the effect that would take into account the specific mechanisms of P diffusion in Si like vacancy-P or interstitial-P pairs. Nevertheless, they also stated that the diffusion of P is in fact enhanced in the Si bulk which they concluded from the observation that a CFP pre-diffused P profile is driven in deeper during a subsequent RTP step when the wafer surface is irradiated with light that contains more UV photons.

The diffusion of P in Si under light irradiation at low temperatures was investigated by Ishikawa et al. [73, 72]. The authors observed enhanced P (and B) diffusion by the irradiation of light from tungsten halogen lamps for spin-on and CVD deposited phosphosilicate glasses. For example, from the P profiles diffused for 20 to 120 min at 760 C in an RTP arrangement, they

(23)

have deduced the concentration dependent diffusion coefficient. Apparently, this coefficient corresponded to the one published for diffusion at even 900 C in a conventional furnace. It should be mentioned that, during the illuminated diffusion, the wafer was lying on another wafer which served as a boat. The temperature was measured using a thermocouple attached to the bottom of the boat. Ishikawa and co-authors suggested that the reason for the enhancement may be excess self-interstitials generated at the interface of the doped oxide and the silicon surface.

Noël et al. [123, 124] (see also [50]) reported on the impact of ultraviolet light from mercury lamps during rapid thermal diffusion of P. The process temperature was measured with a calibrated pyrometer pointing towards the uncoated back surface of the samples. According to their results, diffusion from a highly concentrated spin-on source is enhanced by extra UV light incident on the coated surface. The enhancement was demonstrated by lower sheet resistances and deeper profiles compared to the arrangement without extra UV light. In addition, different lamp configurations (front, back and double-sided heating) were investigated. Like Singh and co-workers, they observed that photons from the tungsten halogen lamps cause accelerated diffusion. The sheet resistance was lower and the P profile was deeper when the wafer surface covered with the dopant faced the halogen lamps than when it was directed away from them.

However, in contrast to Singh, they observed non-accelerated diffusion in case of RTD of conventionally pre-diffused profiles. Thus, they concluded that the acceleration was due to an effect in the deposited spin-on dopant glass or at the oxide/silicon interface rather than to an effect in the Si volume itself.

A comparison of the kinetics of P diffusion from a spin-on glass source during RTP and CFP diffusion was performed by Mathiot et al. [108]. For conventional diffusion (900 C, 15 min), the resulting P profile could be simulated well using a standard diffusion simulation tool whereas in the case of RTD (850 C, 90 s) the simulation failed because it was much deeper than the predicted one. The authors claimed that this would confirm the enhanced behavior caused by the SOD-RTD process. Further, they concluded that the enhancement was neither due to RTP itself, because they managed to simulate RTD of pre-diffused profiles, nor to the presence of the SOD film itself (e.g. because of interfacial stress effects). It was suggested that the enhancement was due to a noninstantaneous dissociation of P-Si-self-interstitial pairs injected into the Si substrate due to the presence of the highly doped SOD source. According to the authors these transient phenomena might be further strengthened by additional irradiation with UV light.

From the cited work one might be tempted to think that photon-enhanced diffusion is a well established fact. However, it has to be mentioned that the observed enhancement may in fact be caused simply by thermal effects rather than by photon or other non-thermal effects. It is widely accepted that determination of the absolute wafer temperature is extremely difficult in RTP equipment owing to the fact that the wafer is not in thermal equilibrium with its surrounding. Much of the work and experiments describing diffusion behavior of semiconductors is accompanied by uncertainty of the sample temperature. For example, the wafer temperature might be different in an arrangement with extra UV irradiation because of inaccurate temperature measurement related to an inappropriate pyrometer calibration.

(24)

Furthermore, in some experiments, thermocouples sometimes faced the incident radiation and, at other times, the opposite direction (see for instance [109]). Later in this work it will be shown that in this case the associated error in temperature can easily reach up to 100 C. In [102], Lojek, one of the pioneers in the field of RTP, remarked that the frequently stated hypothesis about atypical diffusion in RTP systems was just a consequence of unknown or incorrectly determined processing temperature.

In support of this statement, Nagabushnam et al. [115] presented experimental results in- dicating the absence of any photon-enhanced diffusion at least at high temperatures (1000 to 1050 C). They studied the implanted dopant movement in Si during rapid thermal annealing, once with the implanted side facing the lamps and once with the wafer back side facing the lamps. The sheet resistance and the atomic concentration profile were found to be identical for both annealing conditions. It is noteworthy that the authors guaranteed that both wafers were subjected to the same thermal cycle as they used an RTP system equipped with wafer emissivity independent pyrometry. However, they conceded that at temperatures much lower than 700 C their calculations showed that there might be a photon-enhanced diffusion stemming from carrier recombination-assisted diffusion.

The experiments presented in this chapter were designed to verify the proposed accelerated diffusion of phosphorus in silicon by the irradiation of short wavelength photons (visible and/or UV) during RTP diffusion and to gain some knowledge of the prerequisites for the enhancement (e.g. kind of P source). However, the goal of this work was not to provide a microscopic understanding of the mechanisms involved. Also, we did not aim to prove that diffusion in RTP is generally enhanced compared to diffusion in CFP equipment.

2.2 Experimental details and preliminary investigations

2.2.1 Design of the UV-RTP reactor

Fig. 2.1 shows a schematic drawing of the RTP reactor used for the UV experiments. It was built by the RTP manufacturer Jipelec located in Grenoble, France.

The wafer is loaded into the chamber via a quartz tray attached to the loading flange. If desired, the process chamber can be evacuated below 1 mbar and a laminar flow of N2 or O2

can be established. The wafer rests on three quartz pins and no guard ring is used. The tungsten halogen lamps (THL) are located below the sample in two perpendicular rows whereas the excimer UV lamps are located above. The excimer lamps emit at a wavelength of 222 nm.

The UV power density incident on the top wafer surface can be adjusted arbitrarily between 0 and 100 % of the maximum power which is in the range of approximately 60 mW/cm2 (see estimation below). A pyrometer located above the excimer lamps measures the intensity of the radiation emitted by the wafer. At the beginning of the experiments the pyrometer was calibrated via a double side polished wafer which means that for coated wafers the pyrometer output does not represent the absolute wafer temperature, but only serves as indication of the emitted radiation and thus process monitoring. The wafer is surrounded by a cold wall metal-

(25)

Quartz protecting tube

Vacuum Valve

To vacuum pump Gas

flange

Crossed Infrared Lamps Furnace Loading

Pyrometer

Excimer lamp

Quartz window Wafer

Fig. 2.1: Schematic drawing of the RTP reactor used for the experiments on the photon-enhanced diffusion of P in Si. The set-up features excimer UV lamps with adjustable power. Drawing courtesy of Jipelec.

sealed chamber and a cooled quartz window below. As demonstrated later, these features ensure that the set-up is well-suited for open-loop, i.e. power-controlled processing.

Estimation of the UV power

In order to get an idea of the incident UV power, a wafer was prepared with a type K TC and subjected to 100 % of the UV power with the halogen power set to zero. The chamber pressure was below 1 mbar. From the rise in the wafer temperature after switching on the UV lamps the UV power incident on the front wafer surface can be estimated. It is noteworthy that the TC did not face the excimer lamps.

In general, in a rapid thermal processing system in which a wafer is thermally isolated from its surroundings, its steady-state temperature is governed by the incident power density . For one-sided illumination it holds [179]

2 4 04 [W/m2] , (2.1)

where is the absolute temperature, is the total hemispherical emissivity, is the energy coupling efficiency, the total hemispherical absorptivity, 0 is the background temper- ature, and the Stefan-Boltzmann radiation constant of 5.67 10-8 -2 -4 [175]. In first approximation, the 520 m thick and heavily doped ( 0.02 cm) silicon wafer we used can be treated as a grey body whose optical properties are governed by the high free carrier con- centration. In this case, becomes 0.7, independent of temperature, and also equal to [179].

In our case, the energy coupling efficiency equals the absorptivity (i.e. 1-reflectivity) of the sample at the wavelength of the incident UV photons. The reflectivity was determined to be 0.69. Hence, the absorptivity and energy coupling efficiency becomes 0.31.

(26)

We assume that the active cooling system kept the reactor chamber at the temperature 0, even after switching on the UV lamps. Additionally, it is assumed that the chamber walls made of stainless steel reflect none of the incident UV photons. This ensures that the UV light impinges only on the wafer front and comes directly from the excimer lamps.

Before switching on the UV lamps the TC showed 293 K (20 C). This value represents

0. After switching on the UV power to 100 %, the wafer temperature increased to = 314 K (41 C). Substituting these values into Eq. 2.1, we obtain a UV power of 60 mW/cm2 incident on the wafer front surface.

2.2.2 Establishing open-loop processing for P diffusion

One major difference between the forthcoming experiments and the experiments published so far on the photon-effect is that we tried to eliminate all problems associated with temperature- controlled diffusion processes in order to clearly distinguish photon from temperature effects.

The idea was to omit temperature-regulated closed-loop processing and to use open-loop pro- cessing with pre-programmed halogen-power/time profiles instead. However, in order to apply open-loop processing for the experiments, two basic requirements have to be fulfilled:

For identically coated wafers, the run-to-run variation in the plateau temperature must be smaller than the photon-effect translated into an equivalent temperature effect.

The power of the excimer UV lamps must have a negligible thermal contribution at the diffusion temperature, i.e. switching on the excimer lamps additional to the tungsten halogen lamps must not heat the wafer significantly or at least less than the proposed photon-effect translated to temperature.

In the following, it is verified that both requirements are indeed fulfilled, so that the application of open-loop processing makes sense.

The standard open-loop process

In open-loop processing the heating parameters are fixed by pre-programmed lamp power- profiles. There is no feed-back of the wafer temperature as measured by a TC or by a pyrometer. Fig 2.2 shows the standard open-loop process developed to meet the requirements of the diffusion experiments. During the first 60 s the chamber is evacuated and a diffusion ambient of N2 is established with a low flow of 100 sccm in order to minimize cooling due to convection. The pressure in the chamber is below 1 mbar. Low pressure and low gas flow are necessary for reproducible open-loop processing. After evacuation, the tungsten halogen lamps are switched on, the power is ramped in 1 s to the plateau power. The standard THL plateau power is set to 66 % of the maximum power which corresponds to approximately 900 C when a double-side polished 4 inch Si wafer is heated. When the THL power is set to 73 %, the same wafer heats up to approximately 950 C. It takes about 1 min till the wafer reaches the actual plateau temperature. During the plateau, the wafer temperature increases only slightly

(27)

60 120 180 240 300 360 100

300 500 700 900

10 30 50 70 90

P ow er [% ]

tungsten halogen lamps

optional: 100 % UV-power

Time [s]

T

TC

[° C ]

Fig. 2.2: Standard open-loop process used for the diffusion experiments. The process features a plateau of 66 % of the maximum tungsten halogen power. Optionally, the excimer UV lamps are switched on.

Shown here is the temperature profile of a double-side polished wafer subjected to this process.

thanks to the cold wall design of the reactor. In the experiments the plateau time was varied between 60 and 240 s. After the plateau, the wafer is cooled rapidly by ramping the halogen power to zero in just 1 s. Additionally, atmospheric pressure is re-established. In the case of diffusions with extra UV light illumination, the excimer lamps are switched on to 100 % during the 1 s ramp of the halogen power. They are switched off after the 60 s cooling ramp. One has to be aware that reproducible open-loop processing requires identical starting conditions for each wafer. In particular the surrounding chamber has to have the same temperature since its radiated energy adds up to the constant power from the halogen lamps and thus influences the final wafer temperature. To exclude this influence at least one test wafer is heated prior to the actual diffusions and the time between successive runs is kept constant.

Run-to-run repeatability and thermal contribution of the excimer lamps

We checked whether open-loop processing yields reproducible temperature-time curves when identical wafers are subjected to the same power-time profile. As a test sample a double-sided polished wafer was used. On the side facing the excimer UV lamps, a TC was embedded with ceramic glue into a small hole drilled into the wafer bulk. The wafer was subjected four times to the standard open-loop process (see Fig. 2.2). The corresponding wafer temperature during the halogen power plateau is given in Tab. 2.1.

At the start of the first run, the wafer temperature of 17 C was similar to the temperature of the water-cooled chamber. After 40 s at the power plateau, the wafer temperature was 893 C

(28)

Tab. 2.1:Temperature of a bare silicon wafer subjected to four successive runs of the standard open-loop process. The temperature was measured with a TC embedded into the wafer surface directing towards the excimer UV lamps.

Run TWafer[ C] UV Plateau time [s]

at process start (100 %) 40 50 60 120 180 240

1 17 off 893 895 896 899 901 903

2 134 off 897 898 900 903 905 906

3 86 off 896 897 898 902 904 905

4 95 on 896 897 899 902 904 905

and it rose only slightly to 903 C after 240 s thanks to the cold wall design of the RTP reactor.

Immediately after this run, the wafer was subjected to a second run. However, during the first run the chamber had heated up slightly and thus the wafer temperature was 135 C at the start of the second run, which is much higher than at the start of the first run. Consequently, the wafer became 3 to 4 C hotter compared to the first run. Subsequently, a third run was carried out, with a starting temperature only slightly lower than in the second run. Now, the temperature profile of the second run could be reproduced with an improved accuracy of 1 to 2 C.

Finally, in run four it was checked whether the thermal contribution of the excimer UV lamps is negligible when the wafer is at the plateau temperature. The starting temperature was very close to that of the third run, but this time 100 % of UV power was switched on.

According to the table, a temperature-time profile almost identical to that of the third run was obtained. Obviously, at the diffusion temperature, the extra UV power does not increase the wafer temperature significantly. The slight increase during the plateau also occurs when only THL power is used, indicating a slight yet systematic heating of the surrounding reactor chamber. Consequently, care has to be taken that the reactor chamber is pre-heated by a test run in order to get identical temperature conditions. Doing so, the run-to-run repeatability is better than 2 C when identically coated wafers are used.

In conclusion, the comparison between open-loop diffusion without UV and open-loop diffusion with UV is valid because it leads to an uncertainty in the wafer temperature of definitely less than 3 C in the case of optically identical wafers. This is much smaller than the proposed UV effect which would correspond to temperature differences of more than 20 C (see literature cited above).

2.2.3 Difficulties associated with temperature measurements in RTP

In an RTP unit, the wafer temperature can be measured by a TC mounted onto the wafer and by a calibrated pyrometer. The pyrometer calibration procedure also requires mounting of a TC onto a test wafer with optical properties identical to those of the wafers to be processed.

Both methods can yield erroneous temperature measurements if not applied correctly. As a basic requirement for TC measurements, the TC temperature has to coincide with the wafer

(29)

60 120 180 240 0

200 400 600 800 1000 1200

∆ T=100°C

TC facing...

UV lamps, UV off UV lamps, UV on THL, UV off THL, UV on

Process time [s]

TC m ea su re m en t [ °C ]

Fig. 2.3: Temperature of a TC embedded in the middle of a Si wafer upon subjection to the standard open-loop process with a THL power plateau of 73 %. The TC either faced the UV lamps or the THL bank. The UV lamps were switched on optionally.

temperature. Though trivial, this requirement is often not fulfilled as a TC actually measures its own temperature. The basic requirement for correct pyrometer measurements is that the pyrometer signal is a monotonous function of the radiation emitted by the wafer. Again, this prerequisite is often not fulfilled. In the following, two examples are presented where TC and pyrometer measurements fail in the determination of the absolute wafer temperature.

TC measurement

A type K TC was embedded with ceramic glue in the middle of a virgin Si wafer featuring optically identical mirror-polished surfaces. The wafer was subjected to the standard open-loop process with a 120 s plateau of 73 % of the THL power. In one case the TC faced the UV lamps above and in the other case the wafer was turned over, now with the TC facing the THL bank. For both arrangements two runs were performed, first with the UV lamps switched off, and subsequently with the UV lamps switched on to 100 %.

According to Fig. 2.3 the influence of the UV lamps is very small. This confirms the results shown in Tab. 2.1. The slightly higher temperature is due rather to heating of the surrounding chamber during the first run than to the UV irradiation. However, when the TC faces the THL bank and is directly subjected to its radiation, a much higher temperature is measured. The difference with the non-irradiated case is as much as 100 C. The actual wafer temperature could not possibly increase in the same way because the two wafer surfaces were optically

(30)

60 120 180 240 300 360 0

300 400 500 600 700 800 900 1000

Process time [s]

uncoated Spin-on P507 Spin-on P508 APCVD 20% P

P yr om et er o ut pu t [ a. u. ]

Fig. 2.4: Pyrometer measurement of the emission of Si surfaces coated with different P dopant films upon heating with the same open-loop process.

identical apart from the tiny spot where the TC was mounted. In addition, it was shown earlier that the run-to-run repeatability of the open-loop process is better than 3 C.

The result clearly demonstrates that a TC measures its own temperature which, in non- equilibrium conditions such as the ones present in a field of irradiation, does not have to coincide with the wafer temperature, because their emissivities are different. The experiment also shows that the measurement of the absolute temperature of a wafer with a TC in an RTP unit requires much effort and is hardly achieved. Naive measurements with a TC exposed to the irradiation definitely leads to erroneous results. Unfortunately, at least two publications (see [165] and [109]) claiming the enhanced P diffusion, are based on evidently wrong temperature measure- ments such as the ones described above.

Pyrometer measurement

The basic requirement for a correct pyrometer measurement is that the pyrometer output is only a function of the radiation emitted by the wafer. If the surface of a wafer is coated with a film, the film can change its optical properties during processing although the temperature stays constant. This can, for example, take place by a continuous densification of the film.

Unfortunately, in the UV-RTP set-up (see Fig. 2.1), the pyrometer is located on the same side as the excimer UV lamps. Hence, the experiments on the UV-enhanced diffusion would require a pyrometer measurement of the wafer temperature via the dopant film covered surface. In order to check the behavior of different P dopant s during RTD, films were deposited on the polished

(31)

side of single-sided polished wafers. The wafers were subjected to the standard open-loop process (73 % THL power plateau for 4 min) with the uncoated surface facing the THL bank.

The emission of the coated side was measured with the pyrometer. Since the pyrometer output signal was calibrated to the emissivity of a blank polished wafer it should not be interpreted as absolute temperature in the case of the coated wafers.

Fig. 2.4 shows the pyrometer output as a function of the process time. For the uncoated wafer, the increase during the plateau is similar to that observed earlier with an embedded TC.

The optical properties of the uncoated surface do not change during the plateau. In contrast, some of the coated surfaces exhibit strong changes in their optical properties: For the APCVD SiO2:P and the SOD P508 the wafer emission decreases even though the THL power incident on the uncoated back stays constant. It can hardly be said to which proportion this decrease is caused by a real decrease in the wafer temperature or by a change in the emissivity at the working wavelength of the pyrometer. Interestingly, the small hump in the emission-time profile of the P508 occurred each time. Most likely, it arises from a transition in the composition of the SOD. In conclusion, most of the dopant films show ambiguous dependence of the pyrometer output on the emitted radiation. Thus it is impossible to calibrate the pyrometer and hence impossible to utilize it for absolute temperature measurements of the coated wafers.

Conclusions

These two examples on TC and pyrometer measurements show how measurements of the absolute process temperature can fail and how a naive belief in the measurements can lead to a misinterpretation of experimental results and erroneously proposed physical effects. These examples shall motivate the use of open-loop processing for the experiments on the photon- enhanced diffusion. One drawback is the impossibility of measuring the absolute process temperature and hence no temperature dependent kinetic constants like the diffusion coefficient can be deduced.

2.3 Goal and design of the experiments

Within the European project FLASH [35], a new large area RTP machine was to be built for industrially relevant RTD of P emitter for silicon solar cells. Among the partners the question arose whether the P diffusion might be accelerated by additional illumination with UV light from excimer lamps. If so, this would allow to achieve the desired high-throughput without excessive increase of the diffusion temperature. For example, the widely used but temperature sensitive multicrystalline silicon materials like block-cast mc-Si could benefit from process temperatures below 900 C (see chapter 4.4). The scope of the experiments was extended by the veri cation the proposed accelerated diffusion of phosphorus in silicon by the irradiation of short wavelength photons from tungsten halogen lamps. In case of verification, the goal was to gain some knowledge about the conditions under which the enhancement can be observed, e.g. kind of P source.

(32)

Below, some of the possible causes for the photon-enhanced diffusion are discussed, along with the respective consequences for the design of the experiments to be carried out in order to clarify the origin of the acceleration:

Photon-enhanced chemical processes within the deposited P dopant source (e.g. densification of the spin-on film [123, 124]). This could eventually provide higher concentrations of mobile P or an increase in the mobility of P within the spin-on layer, and thus lead to an increased concentration of P at the dopant-silicon interface. In this case, one might expect a dependency of this effect on the chemical composition of the P source applied. The diffusion of P within the bulk silicon would not be enhanced. The use of several diffusion sources (e.g. various spin-on sources, APCVD SiO :P and POCl pre-diffused) may allow us to identify this phenomenon.

Higher P concentrations at the very Si surface and consequently deeper P profiles due to lowering of the entrance energy barrier for P or due to the increase of entering sites.

The reason for this could be the creation of charged vacancies due to a photon-induced shift in the Fermi-Level as has been suggested to explain nonthermal effects observed for diffusion on Si surfaces [24]. Alternatively, it was suggested that pairs consisting of a P atom and a Si self-interstitial (denoted as PI pair) are injected into the Si substrate by the presence of a highly doped spin-on source. This mechanism might be enhanced by extra UV illumination [108]. If so, CFP pre-diffused wafers where the PSG was removed prior to an RTD drive-in would not exhibit any photon-induced enhancement.

Increased diffusivity of P within the bulk of the silicon wafer [166]. In this case the profile depth would be increased even if the surface concentration is not affected by the photon- effect. For example, superfluous charged vacancies created by a photon-induced shift in the Fermi-level [108] could be responsible for the enhancement as P is assumed to diffuse as (PV2-) and (2PV-) pair in the extrinsic regime [94, 67]. In this case, the enhancement should be visible for CFP pre-diffused wafers where the PSG was etched before RTD.

To assess whether SiP precipitates play a role in the mechanism, additional pre-diffused wafers that contain no more inactive excess P after diffusion are of interest.

Another explanation for the enhancement is that it is simply caused by thermal effects rather than by photon or other athermal effects. In this case, the apparent enhancement would be the result of an erroneous temperature determination in the RTP units. This is why we wanted to avoid all problems associated with temperature-controlled diffusion processes to clearly distinguish (UV) photon-induced from temperature effects. As a consequence, open-loop processing was applied. In addition, some of the wafers were coated with the dopants on both surfaces, but only one was exposed to the photon irradiation. As the temperature difference between the two surfaces during the diffusion is negligible [115] a difference in the sheet resistance or the P profile of the surfaces would unambiguously prove photon-enhanced diffusion.

(33)

Silicon wafers

For the experiments two types of boron doped, (100)-oriented, 4 inch Cz silicon wafers were used:

A) Single-sided polished, 525 m thick, specific resistivity of 7-21 cm.

B) Double-sided polished, 350 m thick, specific resistivity of 0.5-2.0 cm.

Both types were of prime quality. Sheet resistance as well as profile measurements can be performed on the polished sides. Wafers of type A were coated single-sided with different P sources. The coated side faced the UV lamps and the uncoated backside faced the THLs.

However, wafers of type B offer the possibility to apply the P source to both surfaces. Wafers coated with the dopant on both surfaces can be used to easily verify the claimed observation that the sheet resistance is lower when the wafer surface with the dopant faces the halogen lamps than when it is directed away from them. Please note that above temperatures of 700 to 800 C Si becomes opaque, and all visible and UV photons are absorbed in the first few micrometers of the wafer. They do not reach the opposite surface. In contrast to the experiments presented in other publications (see [109] and [124]), where the dopant was deposited only single-sided and thus two diffusion runs with different wafer arrangement had be carried out, we need just one run. The advantage of our method is that, as long as both surfaces are at the same temperature, knowledge of the absolute temperature is not necessary to evaluate the effect of the photon irradiation. No comparison with a second run has to be made which would require accurate temperature measurements to ensure the comparability of the two runs with respect to diffusion temperature.

P sources

Phosphorous sources with different chemical composition were used. They were deposited after rinsing of the wafers in deionized water. The native oxide remained on the wafers. Composition, deposition and characteristics of the phosphorous sources were as follows:

1. The Filmtronics spin-on dopants P507, exhibiting a medium P concentration and P508, exhibiting a high P concentration were used. 800 l of the respective SOD was spun-on at 3000 rpm and baked in an oven at approximately 200 C for 10 to 15 min. In the case of double-side coated wafers, the opposite surface was coated after baking of the firstly deposited film and the wafer was baked again. As the film structure changes during baking, the two sides are not perfectly identical.

2. SiO :P was deposited by atmospheric pressure chemical vapor deposition (APCVD). The P weight percentage was either 14 % or 20 %. In the case of double-sided deposition the wafers had to be sent through the APCVD machine twice. In order to protect the hygroscopic PSG from humidity an additional thin undoped layer of APCVD-SiO2 was deposited on top of the PSG.

Referenzen

ÄHNLICHE DOKUMENTE

PLAN OF STORAGE RES_ERVOIR; SECTIONS OE EMBANKMENT, BYEWASH, &c.; VALVE WELL, FOOT BRIDGE, FILTER BEDS, &C.; PORT GLASGOW WATERWORRS: EMEANKMENT, FILTERS, &C.;

Our experiments show that a-Si 1-x C x layer passivation quality can be improved by a factor of 2.2 by thermal treatment after deposition at temperatures up to 550°C (data from 12

Breakdown of type II, being related to recombination active defects, is found in all mc-Si solar cells. The local as well as the global breakdown voltage of this type depend strongly

For shorter pulse durations in the low nanosecond scale, the laser intensity and therefore the evaporation velocity and the recoil pressure is very high, whereas the melt time is

Figure 5.11: Influence of gas flow ratio and plasma power on the refractive index of SiC x as deposited (top) and after firing (bottom) by low-frequency PECVD on p-type Cz wafers

The research at the Institute of Chemical Engineering and Environmental Technology focusses on the development of highly innovative materials and efficient operation strategies

On the sub-component and component level, absorber sheet specimens were either aged under laboratory aging conditions according to northern climate as described above or exposed

An efficient, reliable and low-cost procedure to determine the silicon content in plant material is presented which allows to monitor the agricultural aspects like growth and yield..