• Keine Ergebnisse gefunden

Synthese 1.Synthese

N/A
N/A
Protected

Academic year: 2021

Aktie "Synthese 1.Synthese"

Copied!
20
0
0

Wird geladen.... (Jetzt Volltext ansehen)

Volltext

(1)

1. Synthese

Synthese

G. Kemnitz·Institut für Informatik, TU Clausthal (EDS_F3) 23. Februar 2021 3/126

(2)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

ƒ Womit können Fallunterscheidungen in VHDL erfolgen?

ƒ Welche Eigenschaft müssen Operationen aufweisen, damit die Reihenfolge der Abarbeitung veränderbar ist?

ƒ Welche Anschlüsse haben Register?

ƒ Wie nennt man es, wenn Berechnungsergebnisse wieder auf Eingänge der selben Schaltung gelegt werden?

Fragen zum Kapitel

(3)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Wie kann ein Latch bei bedingten Zuweisungen an ein Signal vermieden werden?

a) durch eine case-Anweisung b) durch bedingtes Überschreiben c) einen else-Zweig

d) gar nicht

(4)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Wobei ist die Verzögerungszeit von assoziativen Operationen geringer?

a) Anordnung als Kette b) Anordnung als Baum c) beides gleich

d) je nach Operation

(5)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Womit kann man die Anfangswerte einer Register- Transferfunktion festlegen?

a) Konstantenvereinbarung

b) Registerinitialisierung

c) Registerextraktion

d) Rückkopplung

(6)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Was bewirkt eine null-Anweisung im others-Zweig?

a) gar nichts

b) Beibehalten der Werte

c) Einbau eines Latch

d) Rücksetzen der Werte

(7)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Was passiert bei einer Rückführung in einer kombinatorischen Schaltung (ohne Register)?

a) Simulation ist nicht möglich.

b) Synthese ist nicht möglich.

c) Speicherverhalten ist möglich.

d) Schwingverhalten ist möglich.

(8)

2. Asynchrone Eingabe

Asynchrone Eingabe

G. Kemnitz·Institut für Informatik, TU Clausthal (EDS_F3) 23. Februar 202149/126

(9)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

ƒ Wie verhindert man unbestimmte Eingangswerte bei asynchronen Eingaben?

ƒ Das beschriebene Übertragungsprotokoll ohne Taktübertragung heißt?

Fragen zum Kapitel

(10)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Ein abgetastetes Bitsignal ist für die folgende Taktperiode ... ?

a) konstant auf dem Wert 0 oder 1

b) wechselnd zwischen den Werten 0 oder 1

c) mit keinem bestimmten Wert versehen

d) ist von einer Eingabeänderung unabhängig

(11)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Was gibt es beim UART-Protokoll immer?

a) Startbit

b) Stoppbit

c) Datenbits

d) Paritätsbit

(12)

3. Schaltungsoptimierung

Schaltungsoptimierung

G. Kemnitz·Institut für Informatik, TU Clausthal (EDS_F3) 23. Februar 202173/126

(13)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

ƒ Welche funktional vollständigen Gatter werden genannt?

ƒ Was muss man machen, wenn man ein KV-Diagramm nach Nullen entwicklelt?

ƒ Welches minimierte und geordnete Entscheidungs- diagramm wird zur Logikoptimierung verwendet?

Fragen zum Kapitel

(14)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Was wird bei der Schaltungsumformung verwendet?

a) Verschmelzung b) Aufteilung

c) Variablenelimination

d) Kantenelimination

(15)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Welche logischen Verknüpfungen mit einer Konstanten können eliminiert werden?

a) und mit 1 ( and 1)

b) oder mit 1 ( or 1)

c) xor mit 1 ( xor 1)

d) und mit 0 ( and 0)

e) oder mit 0 ( or 0)

f) xor mit 0 ( xor 0)

(16)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Sind alle AND-OR Schaltungen in reine NAND-NAND- und NOR-NOR-Schaltungen umwandelbar?

a) ja b) nein

c) nur in NAND-NAND möglich

d) nur in NOR-NOR möglich

(17)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Welche Kantenlängen kann man im KV-Diagramm zur Optimierung nehmen?

a) 2

b) 3

c) 4

e) 8

(18)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Was ist beim KV-Diagramm gesucht?

a) große Blöcke

b) viele Blöcke

c) kleine Blöcke

d) wenige Blöcke

(19)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Was stimmt beim Verfahren nach Quine & McCluskey gegenüber KV-Diagrammen?

a) ist ein graphisches Verfahren

b) eignet sich für eine große Anzahl Eingabebits c) ist besser programmierbar

d) arbeitet mit Tabellen

(20)

Dr. Carsten Giesemann · Institut für Informatik, TU Clausthal (EDS_F3_online) 14. April 2021

Quizfragen zum Kapitel

Wofür steht ROBDD?

a) Redundant Ordered Binary Decision Diagram

b) Reduced Ordered Binary Decision Diagram

c) Reduced Ordinary Binary Decision Diagram

d) Rekursive Ordered Binary Decision Diagram

Referenzen

ÄHNLICHE DOKUMENTE

Giesemann · Institut für Informatik, Technische Universität Clausthal 16... Giesemann · Institut für Informatik, Technische Universität

Entwerfen Sie ein weiteres Testprogramm, dass beim Start den Text "Aufgabe 1.1:" auf der Konsole ausgibt und dann in einer Endlosschleife die Schalterwerte einliest und auf

Schreiben und Testen Sie ein Programm, dass in einer Endlosschleife immer auf eine über die Schal- ter einzustellende Anzahl von Eingabezeichen von der Konsole wartet und diese

Bauen Sie einen integrierten Logikanalysator in ihr System ein, der die Anschlusssignale des Debug- Moduls aufzeichnet und untersuchen Sie, wie Prozessor und Debug-Modul bei einem

Der Abtastprozess für die Schalter soll mit dem in Aufgabe 1 bestimmten Takt arbeiten und der Prozess zum Weiterstellen der Leuchtdiodenausgaben mit einer Taktfre- quenz von

Zu jedem Zeitpunkt lassen sich nur die Anzeigewerte für eine Zier ausgeben. Um vier Ziern darstellen zu können, müssen diese zyklisch immer nacheinander angezeigt werden. Ein

Die in diesem Abschnitt zu entwerfende Schaltung soll einen Takt, acht Schaltereingänge für die Zierneingabe, einen Tasteneingang für das Rücksetzsignal, vier Leuchtdiodenausgänge

Wenn ein Fuÿgänger den Knopf drückt, wenn er zwar schon wieder rot hat, die Am- pel jedoch ihren Zyklus noch nicht beendet hat, soll sich die Ampel den Knopfdruck merken und