• Keine Ergebnisse gefunden

4.5. CONCLUSION 87

88 CHAPTER 4. ROBUSTNESS CHECKING

Chapter 5

Outlook

While both contributed approaches are finished and can be used to solve the corresponding problems, expansions to increase the performance or widen the field of application are still possible.

A comparison between neighboring abstraction levels could provide further possibilities of application. An equivalence check between ESL and a HDL would allow further use of the equivalence checker as it could support the development of hardware systems further along the development cycle. However, both levels are quite different. While an execution on ESL coalues. Thus, the correspondence mapping that defines which initial states and which methods correspond to each other needs to be expanded. While defining corresponding initial states should be straightforward, as we just need to define thnsists of the execution of multiple methods, a system described in a HDL changes its state and outputs during each clock cycle according to its current state and input ve initial assignment of the registers in HDL, the function mapping needs to consider a number of methods on ESL and specific input values over a certain number of clock cycles for the HDL.

Enabling the equivalence check between ESL and HDL would also allow the use of the equivalence checker together with the robustness checker. In this scenario, a hardened iteration of the system at HDL can be checked for correctness by comparing it to the golden model at ESL. In a next step, tools can deduce the logical circuit from the HDL level and our robustness checker can check that circuit for robustness. If the equivalence check and the robustness check are successful, we have shown that the system at HDL is both correct and robust.

89

90 CHAPTER 5. OUTLOOK

Bibliography

[1] Intel rechisels the tablet on moore’s law.

http://blogs.wsj.com/digits/2015/07/16/intel-rechisels-the-tablet-on-moores-law/. Accessed: 2016-07-22.

[2] Systems and software engineering – life cycle processes – requirements engineering. ISO/IEC/IEEE 29148:2011(E), pages 1–94, Dec 2011.

[3] Bijan Alizadeh and Masahiro Fujita. Automatic merge-point detection for sequential equivalence checking of system-level and rtl descriptions. In International Symposium on Automated Technology for Verification and Analysis, pages 129–144, 2007.

[4] S. Antunes.Surviving Orbit the DIY Way: Testing the Limits Your Satellite Can and Must Match. DIY satellites. O’Reilly Media, 2012.

[5] Brian Bailey, Grant Martin, and Andrew Piziali. ESL Design and Verifi-cation: A Prescription for Electronic System Level Methodology. Morgan Kaufmann/Elsevier, 2007.

[6] Soumyadip Bandyopadhyay, Dipankar Sarkar, Kunal Banerjee, and CA Man-dal. A path-based equivalence checking method for petri net based models of programs. In International Conference on Software Engineering and Applications, pages 319–329, 2015.

[7] Aaron R. Bradley. SAT-based model checking without unrolling. In national Conference on Verification, Model Checking, and Abstract Inter-pretation, pages 70–87, 2011.

[8] Edmund Clarke, Daniel Kroening, and Flavio Lerda. A tool for check-ing ANSI-C programs. In Proceedings of Tools and Algorithms for the Construction and Analysis of Systems, pages 168–176, 2004.

[9] Edmund Clarke, Daniel Kroening, and Karen Yorav. Behavioral consistency of C and Verilog programs using bounded model checking. In Design Automation Conference, pages 368–371, 2003.

[10] Leonardo De Moura and Nikolaj Bjørner. Z3: An efficient SMT solver. In International Conference on Tools and Algorithms for the Construction and Analysis of Systems, pages 337–340, 2008.

[11] Mehdi Dehbashi and G¨orschwin Fey. SAT-based speedpath debugging using waveforms. InIEEE European Test Symposium, pages 1–6, 2014.

91

92 BIBLIOGRAPHY [12] R. Drechsler, M. Soeken, and R. Wille. Formal specification level: Towards verification-driven design based on natural language processing. InForum on specification and Design Languages, pages 53–58, Sept 2012.

[13] Niklas Een, Alan Mishchenko, and Robert Brayton. Efficient implementa-tion of property directed reachability. InProceedings of the International Conference on Formal Methods in Computer-Aided Design, pages 125–134, 2011.

[14] Piet Engelke, Ilia Polian, Juergen Schloeffel, and Bernd Becker. Resistive bridging fault simulation of industrial circuits. InProceedings of the Confer-ence on Design, Automation and Test in Europe, DATE ’08, pages 628–633,

New York, NY, USA, 2008. ACM.

[15] Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev Rao, Toan Pham, Conrad Ziesler, David Blaauw, Todd Austin, Krisztian Flautner, and Trevor Mudge. Razor: a low-power pipeline based on circuit-level timing speculation. In Microarchitecture, 2003. MICRO-36. Proceedings.

36th Annual IEEE/ACM International Symposium on, pages 7–18, 2003.

[16] Michael D. Ernst, Jeff H. Perkins, Philip J. Guo, Stephen McCamant, Carlos Pacheco, Matthew S. Tschantz, and Chen Xiao. The Daikon system for dynamic detection of likely invariants. Science of Computer Programming, pages 35–45, 2007.

[17] Xiushan Feng and Alan J. Hu. Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification. InDesign Automation Conference, pages 1063–1068, 2006.

[18] Alexander Finder, Jan-Philipp Witte, and G¨orschwin Fey. Debugging HDL designs based on functional equivalences with high-level specifications. In International Symposium on Automated Technology for Verification and Analysis, pages 60–65, 2013.

[19] Stefan Frehse, G¨orschwin Fey, Eli Arbel, Karen Yorav, and Rolf Drechsler.

Complete and effective robustness checking by means of interpolation. In Formal Methods in Computer-Aided Design, pages 82–90, 2012.

[20] M. J. Gadlage, R. D. Schrimpf, J. M. Benedetto, P. H. Eaton, D. G. Mavis, M. Sibley, K. Avery, and T. L. Turflinger. Single event transient pulse widths in digital microcircuits. IEEE Transactions on Nuclear Science, 51(6):3285–3290, Dec 2004.

[21] Shanghua Gao, Takeshi Matsumoto, Hiroaki Yoshida, and Masahiro Fu-jita. Equivalence checking of loops before and after pipelining by applying symbolic simulation and induction. In Proceedings of the Workshop on Synthesis And System Integration of Mixed Information technologies, pages 380–385, 2009.

[22] J.O. Grady. System Validation and Verification. Systems Engineering.

Taylor & Francis, 1997.

BIBLIOGRAPHY 93 [23] Ghaith Bany Hamad, Ghaith Khazma, Otmane Ait Mohamed, and Yvon Savaria. Comprehensive non-functional analysis of combinational circuits vulnerability of single event transients. InForum on specification and Design Languages, pages 50–56, 2016.

[24] Jie Han, Hao Chen, Jinghang Liang, Peican Zhu, Zhixi Yang, and Fabrizio Lombardi. A stochastic computational approach for accurate and efficient reliability evaluation. Computers, IEEE Transactions on, pages 1336–1350, 2014.

[25] A. Holmes-Siedle and L. Adams. Handbook of radiation effects. Oxford science publications. Oxford University Press, 1993.

[26] D. Jurafsky, J.H. Martin, P. Norvig, and S. Russell. Speech and Language Processing. Pearson Education, 2014.

[27] J. Justesen and T. Høholdt. A Course in Error-correcting Codes. EMS textbooks in mathematics. European Mathematical Society, 2004.

[28] Kuk-Hwan Kim, Siddharth Gaba, Dana Wheeler, Jose M. Cruz-Albrecht, Tahir Hussain, Narayan Srinivasa, and Wei Lu. A functional hybrid mem-ristor crossbar-array/cmos system for data storage and neuromorphic appli-cations. Nano Letters, 12(1):389–395, 2012. PMID: 22141918.

[29] Alfred Koelbl, Reily Jacoby, Himanshu Jain, and Carl Pixley. Solver tech-nology for system-level to RTL equivalence checking. InDesign, Automation and Test in Europe, pages 196–201, 2009.

[30] S. Krishnaswamy, I.L. Markov, and J.P. Hayes.Design, Analysis and Test of Logic Circuits Under Uncertainty. Lecture Notes in Electrical Engineering.

Springer Netherlands, 2012.

[31] P. Lee. Introduction to Place and Route Design in VLSIs. Lulu.com, 2007.

[32] A. Leung, D. Bounov, and S. Lerner. C-to-verilog translation validation. In Formal Methods and Models for Codesign (MEMOCODE), 2015 ACM/IEEE International Conference on, pages 42–47, Sept 2015.

[33] Regis Leveugle. A new approach for early dependability evaluation based on formal property checking and controlled mutations. InOn-Line Testing Symposium, pages 260–265, July 2005.

[34] R. E. Lyons and W. Vanderkulk. The use of triple-modular redundancy to improve computer reliability. IBM Journal of Research and Development, 6(2):200–209, April 1962.

[35] W. Maly. Realistic fault modeling for vlsi testing. InProceedings of the 24th ACM/IEEE Design Automation Conference, DAC ’87, pages 173–180, New York, NY, USA, 1987. ACM.

[36] Takeshi Matsumoto, Hiroshi Saito, and Masahiro Fujita. Equivalence check-ing of C programs by locally performcheck-ing symbolic simulation on dependence graphs. InProceedings of the International Symposium on Quality Electronic Design, pages 370–375, 2006.

94 BIBLIOGRAPHY [37] Natasa Miskov-Zivanov and Diana Marculescu. Multiple transient faults in combinational and sequential circuits: A systematic approach. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, pages 1614–1627, 2010.

[38] Sparsh Mittal. A survey of architectural techniques for managing process variation. ACM Comput. Surv., 48(4):54:1–54:29, February 2016.

[39] Kartik Mohanram. Simulation of transients caused by single-event upsets in combinational logic. InTest Conference, 2005. Proceedings. ITC 2005.

IEEE International, pages 9 pp.–981, 2005.

[40] G. E. Moore. Cramming more components onto integrated circuits. Pro-ceedings of the IEEE, 86(1):82–85, Jan 1998.

[41] Rajdeep Mukherjee, Daniel Kroening, Tom Melham, and Mandayam K.Srivas. Equivalence checking using trace partitioning. InIEEE Computer Society Annual Symposium on VLSI, pages 13–18, 2015.

[42] Michael Nicolaidis. Time redundancy based soft-error tolerance to rescue nanometer technologies. InIEEE VLSI Test Symposium, pages 86–94, 1999.

[43] Eugene Normand. Single event upset at ground level. IEEE transactions on Nuclear Science, 43(6):2742–2750, 1996.

[44] E. Notenboom. Testing Embedded Software. A Pearson education book.

Addison-Wesley, 2003.

[45] Martin Oma˜na, Giacinto Papasso, Daniele Rossi, and Cecilia Metra. A model for transient fault propagation in combinatorial logic. In IEEE International On-Line Testing Symposium, pages 111–115, 2003.

[46] D. Perry and H. Foster. Applied Formal Verification: For Digital Circuit Design. McGraw-Hill electronic engineering series. McGraw-Hill Education, 2005.

[47] E. Petersen. Single Event Effects in Aerospace. Wiley, 2011.

[48] L.L. Pullum. Software Fault Tolerance Techniques and Implementation.

Artech House computing library. Artech House, 2001.

[49] S. Rigo, R. Azevedo, and L. Santos. Electronic System Level Design: An Open-Source Approach. Springer Netherlands, 2011.

[50] M. O. Saglamdemir, G. Dundar, and A. Sen. An analog behavioral equiva-lence checking methodology for simulink models and circuit level designs.

InSynthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2015 International Conference on, pages 1–4, Sept 2015.

[51] Matthias Sauer, Alexander Czutro, Ilia Polian, and Bernd Becker. Small-delay-fault ATPG with waveform accuracy. InProceedings of the Interna-tional Conference on Computer-Aided Design, pages 30–36, 2012.